首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   betway88必威体育   E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> 新思科技

新思科技 文章 进入新思科技技术社区

新思科技于2023台积公司OIP生态系统论坛上荣获多项年度合作伙伴大奖

  • 摘要:●   新思科技全新数字与模拟设计流程认证针对台积公司N2和N3P工艺可提供经验证的功耗、性能和面积(PPA)结果。●   新思科技接口IP组合已在台积公司N3E工艺上实现硅片成功,能够降低集成风险,加快产品上市时间,并针对台积公司N3P工艺提供一条快速开发通道。●   集成3Dblox 2.0标准的全面多裸晶芯片系统解决方案提高了快速异构集成的生产率。●   新思科技携手Ansys和是德科技(Keysight)合作开发
  • 关键字: 新思科技  台积公司  OIP生态系统论坛  

新思科技重磅发布全新RISC-V处理器系列,进一步扩大ARC处理器IP组合

  • 摘要:●   新思科技全新32位和64位ARC-V处理器IP建立在其数十年的处理器开发经验之上,为开发者提供更广泛的RISC-V IP选择空间;●   经验证且成熟的新思科技MetaWare软件开发工具链能够帮助软件工程师基于新思科技ARC-V处理器IP高效开发高度优化的软件代码;●   Synopsys.ai全栈式AI驱动型EDA解决方案和Fusion快速入门设计实现套件(QIK)提升基于新思科技ARC-V处理器IP设计的生产率和结果质量(Qo
  • 关键字: 新思科技  RISC-V  ARC处理器  

新思科技重磅发布全新RISC-V处理器系列,进一步扩大ARC处理器IP组合

  • 面向汽车嵌入式软件、存储和物联网应用的新一代ARC-V处理器摘要:新思科技全新32位和64位ARC-V处理器IP建立在其数十年的处理器开发经验之上,为开发者提供更广泛的RISC-V IP选择空间;经验证且成熟的新思科技MetaWare软件开发工具链能够帮助软件工程师基于新思科技ARC-V处理器IP高效开发高度优化的软件代码;Synopsys.ai全栈式AI驱动型EDA解决方案和Fusion快速入门设计实现套件(QIK)提升基于新思科技ARC-V处理器IP设计的生产率和结果质量(QoR);包括硬件辅助验证和
  • 关键字: 新思科技  汽车电子  RISC-V  物联网  ARC-V处理器  

新思科技宣布与Arm深化合作

  • 据外媒,新思科技(Synopsys)近日宣布,将与Arm扩大合作,为Arm Neoverse V2平台和Arm Neoverse计算子系统(CSS)等全新Arm技术提供优化的IP和EDA解决方案,帮助共同客户能够以更低的成本、更小的风险和更快的上市时间快速开发专用芯片。据悉,新思科技已加入“Arm全面设计”(Arm Total Design)生态系统,将充分利用其全球领先的技术和专业知识、Synopsys.ai全栈式AI驱动型EDA全面解决方案,以及新思科技接口、安全和芯片生命周期管理IP,助力共同客户加
  • 关键字: 新思科技  ARM  IP  

新思科技与Arm持续深化合作,加速先进节点定制芯片设计

  • 摘要:●   新思科技加入“Arm全面设计”(Arm Total Design)生态系统并提供IP和芯片设计服务,通过Synopsys.ai全栈式AI驱动型EDA全面解决方案和硬件辅助验证产品组合降低定制SoC的进入门槛并缩短上市时间。●   基于全球IP使用协议,新思科技将为Arm提供用于流片前互操作性测试和性能分析的IP组合,搭载对接所有Arm处理器和子系统的片上演示系统,从而降低设计风险。●   Arm支持中心提供用于高性能Arm内核的新思
  • 关键字: 新思科技  Arm  定制芯片设计  

新思科技携手是德科技、Ansys面向台积公司4纳米射频FinFET工艺推出全新参考流程,助力加速射频芯片设计

  • 摘要:●   全新参考流程针对台积公司 N4PRF 工艺打造,提供开放、高效的射频设计解决方案。●   业界领先的电磁仿真工具将提升WiFi-7系统的性能和功耗效率。●   集成的设计流程提升了开发者的生产率,提高了仿真精度,并加快产品的上市时间。新思科技(Synopsys, Inc.)近日宣布,携手是德科技(Keysight)、Ansys共同推出面向台积公司业界领先N4PRF工艺(4纳米射频FinFET工艺)的全新参考流程。该参考流程基于新思科
  • 关键字: 新思科技  是德  Ansys  射频芯片设计  

新思科技携手台积公司简化多裸晶系统复杂性,推出面向台积公司N3E工艺的“从架构探索到签核” 统一设计平台和经验证的UCIe IP

  • 摘要:●   新思科技3DIC Compiler集成了3Dblox 2.0标准,可用于异构集成和完整的“从架构探索到签核”完整解决方案。●   新思科技 UCIe PHY IP在台积公司N3E工艺上实现了首次通过硅片的成功(first-pass silicon success),可提供低延迟、低功耗和高带宽的芯片间连接。●   UCIe PHY IP与3DIC Compiler的结合将有效优化多裸晶系统设计,能够以更低的集成风险实现更高的结果质量
  • 关键字: 新思科技  台积  多裸晶系统  N3E工艺  签核  UCIe IP  

新思科技携手是德科技、Ansys面向台积公司4 纳米射频FinFET工艺推出全新参考流程

  • 摘要:●   全新参考流程针对台积公司 N4PRF 工艺打造,提供开放、高效的射频设计解决方案。●   业界领先的电磁仿真工具将提升WiFi-7系统的性能和功耗效率。●   集成的设计流程提升了开发者的生产率,提高了仿真精度,并加快产品的上市时间。近日宣布,携手是德科技(Keysight)、Ansys共同推出面向台积公司业界领先N4PRF工艺(4纳米射频FinFET工艺)的全新参考流程。该参考流程基于新思科技的定制设计系列产品,为追求更高预测精度
  • 关键字: 新思科技  是德科技  Ansys  台积公司  4 纳米  射频  FinFET  射频芯片设计  

新思科技提供跨台积公司先进工艺的参考流程,助力加速模拟设计迁移

  • 摘要: ●   新思科技AI驱动的设计解决方案可实现电路优化,在提高设计质量的同时,节省数周的手动迭代时间。●   新思科技可互操作工艺设计套件(iPDK)适用于台积公司所有FinFET先进工艺节点,助力开发者快速上手模拟设计。●   新思科技携手Ansys 和 Keysight 共同推出全新射频设计参考流程,能够为现代射频集成电路设计提供完整解决方案。新思科技(Synopsys, Inc.)近日宣布,其模拟设计迁移流程已应用于台积公司N4P、N
  • 关键字: 新思科技  台积公司  模拟设计迁移  

新思科技面向台积公司N5A工艺技术推出业内领先的广泛车规级IP组合

  • 摘要:●   面向台积公司N5A工艺的新思科技IP产品在汽车温度等级2级下符合 AEC-Q100 认证,确保了系统级芯片(SoC)的长期运行可靠性。●   新思科技IP产品在随机硬件故障评估下符合 ISO 26262 ASIL B 级和 D 级标准,有助于客户达成其汽车安全完整性(ASIL)目标。●   新思科技的基础IP、LPDDR5X/5/4X、PCIe 4.0/5.0、以太网、MIPI C-PHY/D-PHY 和 M-PHY ,以及 USB
  • 关键字: 新思科技  台积  N5A工艺  车规级IP  

新思科技PCIe 6.0 IP与英特尔PCIe 6.0测试芯片实现互操作

  • 新思科技(Synopsys, Inc.)近日宣布,新思科技PCI Express(PCIe)6.0 IP在端到端64GT/s的连接下,成功实现与英特尔PCIe 6.0测试芯片的互操作性。这一全新里程碑也将保证,在未来无论是集成了新思科技或是英特尔PCIe 6.0解决方案的产品,都将在整个生态系统中进行有效的互联互通,从而降低设计风险并加速产品上市时间。此次演示当中,新思科技PCIe 6.0端点PHY和控制器IP与英特尔PCIe 6.0测试芯片成功实现了互操作。在9月19日和20日举办的英特尔On技术创新峰
  • 关键字: 新思科技  PCIe 6.0  

是德科技、新思科技和Ansys携手为台积电的先进4nm射频FinFET制程打造全新参考流程

  • ●   新参考流程采用台积电 N4PRF 制程,提供了开放、高效的射频设计解决方案●   强大的电磁仿真工具可提升 WiFi-7 系统的性能和功率效率●   综合流程可提高设计效率,实现更准确的仿真,从而更快将产品推向市场是德科技、新思科技和Ansys携手为台积电的先进4nm射频FinFET制程打造全新参考流程,助力RFIC半导体设计加速发展是德科技、新思科技公司和 Ansys 公司近日宣布携手推出面向台积电 N4PRF 制程的新参考流程。N4P
  • 关键字: 是德科技  新思科技  Ansys  台积电  4nm射频  FinFET  

新思科技推出业界首个全栈式大数据分析解决方案

  • 新思科技(Synopsys, Inc.,纳斯达克股票代码:SNPS)近日宣布推出面向芯片开发全流程的AI驱动型数据分析整体解决方案,以不断强化其Synopsys.ai™全栈式EDA平台。这是全球半导体行业首个可提供AI驱动型洞察和优化分析的解决方案,能够改善架构探索、设计、制造和测试流程。该解决方案集成了AI技术的最新进展,可对大量异构、多域数据进行管理和操作,以加速分析根本原因,从而提高设计生产率、制造效率和测试质量。新思科技AI驱动型EDA数据分析(Data Analytics,.da)解决方案包括:
  • 关键字: 新思科技  全栈式  大数据分析  Synopsys.ai  

英特尔和新思科技深化合作,提供基于英特尔先进制程节点的领先IP

  • 新闻亮点:●   该多代合作协议将进一步推动英特尔IDM 2.0战略的发展;●   通过扩大合作伙伴关系和加快提供IP的速度,该合作将支持英特尔代工服务生态的发展;●   该合作建立在新思科技与英特尔长期的IP和EDA战略合作伙伴关系之上。英特尔和新思科技(Synopsys)宣布已经达成最终协议,深化在半导体IP和EDA(电子设计自动化)领域的长期战略合作伙伴关系,共同为英特尔代工服务的客户开发基于Intel 3和Intel 18A制程节点的IP
  • 关键字: 英特尔  新思科技  IP  

新思科技任命Sassine Ghazi为全球总裁兼首席执行官

  • 新思科技( Synopsys,Inc. Inc.)近日宣布任命 Sassine Ghazi 为新思科技全球总裁兼首席执行官,自 2024 年 1月 1 日起生效。届时,现任董事长兼首席执行官 Aart de Geus 将担任董事会执行主席。Aart de Geus 表示 加入新思科技 25 年来, Sassine 充分彰显了公司的价值观和企业文化,不仅推动了诸多具有里程碑意义的技术创新,还与我们全球范围内的客户和生态系统建立了深厚的合作伙伴关系,积极推动全球半导体产业创新。 Sassine 始终坚持‘ Y
  • 关键字: 新思科技  
共125条 1/9 1 2 3 4 5 6 7 8 9 » ›|
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
必威娱乐平台 杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473