首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   betway88必威体育   E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> 新思科技

新思科技 文章 进入新思科技技术社区

三星联合ARM与新思科技开发5纳米制程优化工具

  • 在半导体先进制程上的进争,目前仅剩下台积电、三星、以及英特尔。不过,因为英特尔以自己公司的产品生产为主,因此,台积电与三星的竞争几乎成为半导体界中热门的话题。
  • 关键字: 三星  ARM  新思科技  5纳米  

PCIe 5.0首秀!PCIe 4.0刚出生就落伍了?

  • PCIe 4.0产品正在纷纷面世,但是下一代PCIe 5.0已经迫不及待地走来,标准规范刚刚完成不到半年的它,已经得到了一批产品和技术的采纳,比如Intel 10nm Agilex FPGA,比如CXL、CCIX、Gen-Z高速互连标准。
  • 关键字: PCIe 5.0  PCIe 4.0  新思科技  

新思科技:开源时代的风险是什么?

  • 软件审计是在企业投入生产之前发现开源许可证风险的最佳方式。新思科技的黑鸭审计服务团队每年为其客户进行数千个代码库的开源审计。这些审计需求主要来自合并和收购交易,并最终成为新思科技年度《开源安全和风险分析》(OSSRA)报告的匿名数据的关键来源。当新思科技团队对代码库进行审计时,他们专注于识别所有开源和第三方组件及与其关联的许可证,以便确定是否存在任何潜在的许可证冲突。近期发布的2019年OSSRA报告审查了1,200多个商业代码库的数据结果,这些代码库用于希望评估其开源许可证合规性和安全风险的企业和组织。
  • 关键字: 软件审计  新思科技  代码库  

新思设计平台获台积电创新SoIC芯片堆栈技术认证

  • 新思科技宣布新思科技设计平台(Synopsys Design Platform)已通过台积电最新系统整合芯片3D芯片堆栈(chip stacking)技术的认证,其全平台的实现能力,辅以具备高弹性的参考流程,能协助客户进行行动运算、网络通讯、消费性和汽车电子应用,对于高效能、高连结和多芯片技术等设计解决方案的部署。
  • 关键字: 新思科技  3D芯片堆栈  台积电  封装  

新思科技推出下一代Design Compiler,进一步强化Synthesis领先地位

  •   新思科技(Synopsys, Inc.,纳斯达克股票市场代码: SNPS)宣布,推出Design Compiler系列的全新RTL Synthesis产品Design Compiler® NXT,进一步扩大了Design Compiler Graphical的市场领先地位。Design Compiler NXT通过创新性的核心技术同时满足了诸如人工智能(AI)、云计算、5G和自动驾驶等半导体市场对更小体积、更高性能、更低功耗的集成电路(IC)的需求,以及对研发周期越来越高的要求。  瑞萨电子广泛解决方
  • 关键字: 新思科技  SoC  

新思科技为ADAS设计推出支持TSMC 7nm工艺技术的汽车级IP

  •   新思科技(Synopsys, Inc.)宣布,推出支持TSMC 7nm FinFET工艺技术的汽车级DesignWare®Controller和PHY IP。DesignWare LPDDR4x、MIPI CSI-2、D-PHY、PCI Express 4.0以及安全IP在TSMC 7nm工艺技术实现了先进的汽车设计规则,以满足ADAS和自动驾驶芯片的可靠性及运行要求。推出此项支持TSMC 7nm工艺技术的汽车级IP进一步扩展了新思科技FinFET工艺的ISO 26262 ASIL Ready IP解
  • 关键字: 新思科技  ADAS  

形式属性验证性能预提高10倍,新思科技推出突破性机器学习技术

  •   新思科技推出一种回归模式加速器,其为基于人工智能(AI)最新形式的验证应用。作为新思科技VC Formal®解决方案的组成部分,VC Formal采用顶尖的机器学习算法,将设计和验证周期中的性能验证速度提高10倍。此应用还可加速形式属性验证,以便后续运行实现更好的形式收敛。回归模式加速器应用还能够在每日回归测试时显著节省计算资源,以验证复杂的芯片系统(SoC)设计,从而在过去无法实现的情况下运行形式验证。  意法半导体研发设计经理David Vincenzoni表示,“作为领先的集成设备制造商,意法半
  • 关键字: 新思科技  机器学习  

新思科技助力《中国集成电路产业人才白皮书(2017-2018)》成功发布

  •   新思科技(Synopsys, Inc.,纳斯达克股票市场代码: SNPS )宣布,中国电子信息产业发展研究院(CCID)和工业和信息化部软件与集成电路促进中心(CSIP)联合中国国际人才交流基金会、新思科技等机构共同举办的“2018全球半导体才智大会暨《中国集成电路产业人才白皮书(2017-2018)》发布仪式”在北京隆重举行。仪式上,主办单位向社会公开了人才白皮书的最新研究成果。  2016年,在新思科技的大力支持下,CCID和CSIP首度发起中国集成电路产业人才白皮书项目。新思科技汇集各界资源鼎力
  • 关键字: 新思科技  集成电路  

新思科技推出新一代ZeBu Server-4

  •   全球第一大芯片自动化设计解决方案提供商及全球第一大芯片接口IP供应商、信息安全和软件质量的全球领导者新思科技(Synopsys, Inc.)宣布,旗下业界性能最高的硬件仿真系统ZeBu® Server 4面向用户开放。ZeBu Server 4基于久经考验的ZeBu快速硬件仿真架构而开发,硬件仿真性能是竞品解决方案的两倍,能够实现片上系统(SoC)验证和软件研发,以满足汽车、5G、网络、人工智能(AI)和数据中心SoC爆发式增长的验证需求。ZeBu Server 4对机房的空间需求减少了一半,同时功耗
  • 关键字: 新思科技  ZeBu   

新思科技被Forrester Wave评为静态应用安全测试领导者

  •   美国新思科技公司 (Synopsys, Nasdaq: SNPS)近日宣布其在权威独立调研公司Forrester Wave™发布的《2017年第四季度静态应用安全测试》报告中被评为领导者。报告深入分析和评估了10家在静态应用安全测试(SAST)领域最有影响力的供应商。新思科技静态分析(Coverity®)解决方案在“现有产品”和“策略”类别中获得了最高分。在“现有产品”类别评比中,新思科技的规则管理标准和软件开发生命周期(SDLC)集成方案也获得了最高分。  新
  • 关键字: 新思科技  Forrester   

新思科技评估IPhone X Face ID及生物识别系统的安全性

  •   现已有一些框架被建议用来评估生物识别系统的安全性。其中比较受认可的包括Ratha1以及Bartlow 和Cukic2提出来的框架,前者较为简单,后者是增强版。  要运用这些框架来评估iPhone X生物识别的安全性需要大量我们还没有的数据。我们较难推测iPhone X人脸识别技术的实现。我们想在此从整体上讨论人脸识别安全性,其中有一些可能是应用在iPhone X的类似技术。  新思科技评估了数款手机人脸识别软件的使用安全。我们简要介绍在评估期间探讨的几个攻击方式:  针对活体检测的攻击  活体检测是为
  • 关键字: 新思科技  生物识别  

联电与新思科技拓展合作 加速14纳米制程定制化设计

  •   日前,才宣布14纳米制程进入客户芯片量产阶段的晶圆代工厂联电,14日再与新思科技(Synopsys)共同宣布,双方将拓展合作关系,将Synopsys的Custom Compiler和Laker定制化设计工具,应用于联电的14纳米FinFET制程上,用以缩短定制化的设计工作。   联电表示,双方的此项合作,是为了建立和验证,用于联电14纳米制程的业界标准iPDK,并全面支持Custom Compiler,以提供视觉辅助方案于布局流程。就由此突破性的功能,可缩短客户于布局和连接FinFET元件所需的时程
  • 关键字: 联电  新思科技  

联华电子和新思科技合作加速14纳米客制化设计

  •   联华电子今(14日) 与新思科技(Synopsys)共同宣布,双方拓展合作关系,将Synopsys的 Custom Compiler™和Laker®客製化设计工具应用于联电14奈米FinFET製程。此项合作为建造和验证用于联电14奈米的业界标准iPDK,全面支援Custom Compiler提供视觉辅助方案于佈局流程,此突破性的功能,可缩短客户于佈局和连接FinFET元件所需的时程。 Custom Compiler解决方案整合了Synopsy
  • 关键字: 联华电子  新思科技  

新思科技建全球研发武汉产业园 预计2019年建成投用

  •   昨日,全球领先的半导体设计公司美国新思科技,在武汉未来科技城动建全球研发武汉产业园。   另悉,总投资达1600亿元的长江存储器项目,也将于本月在未来科技城动工。这两大集成电路项目,将引领湖北迈入“硅时代”。   新思科技武汉产业园,是除美国硅谷总部外,新思科技在全球唯一自购土地建设的产业园区,总投资5000万美元,预计2019年建成投用。其定位为“全球化研发及集成电路设计软件培训基地”。   新思科技全球总裁陈志宽表示,近年来,中国集成电路产业发
  • 关键字: 新思科技  集成电路  

台积电携手新思科技 开发7纳米制程设计平台

  •   半导体设计公司新思科技(Synopsys)17日宣布,将与晶圆代工龙头台积电合作推出针对高效能运算(High Performance Compute)平台的创新技术,而这些新技术是由新思科技与台积电合作的7纳米制程Galaxy设计平台的工具所提供。   据了解,此次两家公司共同开发的技术包括:通路铜柱(via pillar)、多源树合成(TCS)和混合时脉网格(clock mesh),以及可配合关键网(critical net)上阻力及电阻的自动化汇流排绕线(automated bus routin
  • 关键字: 台积电  新思科技  
共137条 8/10 |‹ « 1 2 3 4 5 6 7 8 9 10 »
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
必威娱乐平台 杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473