<![CDATA[ 电子产品世界 ]]> - 必威娱乐平台 //www.cghlg.com ch-cn editor@eepw.com.cn webmaster@eepw.com.cn 5 PHP RSS Feed Generator <![CDATA[ 中国电子云打造云南省(国家级)老龄智算中心 ]]> 据中国电子云官微消息,12月8日,云南省民政厅与中国电子云在昆明举行数字云南民政建设战略合作协议签约仪式。

云南省民政厅与中国电子云将根据战略合作协议,以市场化为导向,重点围绕打造数字民政标杆、规划建设云南省(国家级)老龄智算中心、开展新一代信息技术在民政领域的创新应用研究和培养民政信息技术人才队伍等领域开展深度合作。

]]>
据中国电子云官微消息,12月8日,云南省民政厅与中国电子云在昆明举行数字云南民政建设战略合作协议签约仪式。

云南省民政厅与中国电子云将根据战略合作协议,以市场化为导向,重点围绕打造数字民政标杆、规划建设云南省(国家级)老龄智算中心、开展新一代信息技术在民政领域的创新应用研究和培养民政信息技术人才队伍等领域开展深度合作。

]]>
//www.cghlg.com/article/202312/453800.htm Tue, 12 Dec 2023 11:36:58 +0800
<![CDATA[ 英特尔携手联想打造5G未来工厂 ]]> 据“英特尔资讯”公众号消息,为持续推动智能制造发展,英特尔助力联想打造了联想(天津)智慧创新服务产业园。双方充分运用绿能技术和绿色建造技术,在智能制造、智联质量、智慧物流等方面优化建设运营方案,为业界打造了一个高度自动化、全面智能化的可复制零碳智造解决方案。

据悉,此次基于英特尔软硬件产品组合打造的联想(天津)智慧创新服务产业园项目,充分践行了“零碳之路,绿色之道”的初心,着力打造集生产制造、研发实验、数字化展示于一体的高度信息化、智能化业界标杆产业园。联想的5G+制造解决方案,以NFV技术为底座,基于通用硬件,以软件形式实现网络功能,使得客户可以轻松地在既有算力设施基础之上,以最小成本实现稳定可靠的网络功能,并切实应用到生产运营的核心环节。

该项目不仅可助力工厂提升生产效率、优化物流管理、改善安全监控、降低维护成本,对产线而言,还将实现自动化生产、远程操作和监控、数据分析和优化,以及人机协同合作,能够大幅提高生产线的效率、质量和灵活性。

]]>
据“英特尔资讯”公众号消息,为持续推动智能制造发展,英特尔助力联想打造了联想(天津)智慧创新服务产业园。双方充分运用绿能技术和绿色建造技术,在智能制造、智联质量、智慧物流等方面优化建设运营方案,为业界打造了一个高度自动化、全面智能化的可复制零碳智造解决方案。

据悉,此次基于英特尔软硬件产品组合打造的联想(天津)智慧创新服务产业园项目,充分践行了“零碳之路,绿色之道”的初心,着力打造集生产制造、研发实验、数字化展示于一体的高度信息化、智能化业界标杆产业园。联想的5G+制造解决方案,以NFV技术为底座,基于通用硬件,以软件形式实现网络功能,使得客户可以轻松地在既有算力设施基础之上,以最小成本实现稳定可靠的网络功能,并切实应用到生产运营的核心环节。

该项目不仅可助力工厂提升生产效率、优化物流管理、改善安全监控、降低维护成本,对产线而言,还将实现自动化生产、远程操作和监控、数据分析和优化,以及人机协同合作,能够大幅提高生产线的效率、质量和灵活性。

]]>
//www.cghlg.com/article/202312/453799.htm Tue, 12 Dec 2023 11:35:54 +0800
<![CDATA[ 中汽协:11月新能源汽车销量102.6万辆 同比增长30% ]]>

12月11日消息,中汽协发布数据显示,11月,新能源汽车产销分别完成107.4万辆和102.6万辆,同比分别增长39.2%和30%,市场占有率达到34.5%。

1-11月,新能源汽车产销分别完成842.6万辆和830.4万辆,同比分别增长34.5%和36.7%,市场占有率达到30.8%。

据中国汽车工业协会整理的海关总署数据显示,10月,汽车出口52.7万辆,环比增长6.2%,同比增长49.6%。其中,新能源汽车出口19.3万辆,环比增长13.4%,同比增长38.4%。1-10月,汽车出口423.9万辆,同比增长62.1%。其中新能源汽车出口143.9万辆,同比增长77.4%。汽车出口量前十的国家中,俄罗斯、墨西哥和比利时出口量位居前三,市场表现较强。新能源汽车出口的前三大国家为比利时、泰国和英国。

]]>

12月11日消息,中汽协发布数据显示,11月,新能源汽车产销分别完成107.4万辆和102.6万辆,同比分别增长39.2%和30%,市场占有率达到34.5%。

1-11月,新能源汽车产销分别完成842.6万辆和830.4万辆,同比分别增长34.5%和36.7%,市场占有率达到30.8%。

据中国汽车工业协会整理的海关总署数据显示,10月,汽车出口52.7万辆,环比增长6.2%,同比增长49.6%。其中,新能源汽车出口19.3万辆,环比增长13.4%,同比增长38.4%。1-10月,汽车出口423.9万辆,同比增长62.1%。其中新能源汽车出口143.9万辆,同比增长77.4%。汽车出口量前十的国家中,俄罗斯、墨西哥和比利时出口量位居前三,市场表现较强。新能源汽车出口的前三大国家为比利时、泰国和英国。

]]>
//www.cghlg.com/article/202312/453798.htm Tue, 12 Dec 2023 11:35:13 +0800
<![CDATA[ 报道称华为洽商奔驰及奥迪入股其智能汽车公司 ]]> 12月12日消息,有媒体引述知情人士称,华为已询问奔驰(Mercedes-Benz)和大众旗下的奥迪(Audi),是否有兴趣购买其智能汽车软件和零部件公司的少量股份。知情人士说,此举旨在将合作关系扩展到中国品牌之外。华为也希望外国投资者的引入有助于保护其业务免受地缘政治紧张局势的进一步影响。

]]>
12月12日消息,有媒体引述知情人士称,华为已询问奔驰(Mercedes-Benz)和大众旗下的奥迪(Audi),是否有兴趣购买其智能汽车软件和零部件公司的少量股份。知情人士说,此举旨在将合作关系扩展到中国品牌之外。华为也希望外国投资者的引入有助于保护其业务免受地缘政治紧张局势的进一步影响。

]]>
//www.cghlg.com/article/202312/453797.htm Tue, 12 Dec 2023 11:33:43 +0800
<![CDATA[ 工信部:我国新能源汽车发展正带动产业生态全面重塑 ]]> 12月11日消息,工业和信息化部日前介绍,我国新能源汽车快速发展的同时,融入了人工智能、互联网、大数据等多种变革性技术,汽车产业生态正在全面重塑。

新能源汽车的产业链、价值链正持续向交通、能源、信息通信等领域拓展,我国正在加快构建汽车产业的新型生态。

工业和信息化部副部长辛国斌提出三点建议:

一是将积极推动新能源和智能网联汽车创新发展,支持新一代动力电池、新型底盘、智能驾驶等技术研发和产业化;持续完善车辆购置税减免、积分管理等支持政策;稳定开展智能网联汽车生产准入和上路通行试点,开展城市级“车-能-路-云”一体化试点应用;强化基础设施建设和要素资源保障,支持“车-能-路-云”融合创新发展。

二是将进一步优化行业管理政策,推动机动车生产准入立法,提升公共管理科学性、规范性和透明度;强化产业发展统筹布局,研究建立产能预警机制,维护市场有序竞争秩序;坚持底线思维,加强新能源汽车功能安全、网络安全、数据安全管理。

三是将进一步深化国际合作交流,持续打造一流营商环境,加强与各个国家和地区在贸易、投资、技术等领域的合作,充分借助联合国世界车辆法规协调论坛等平台,加快碳核算、自动驾驶等标准制定和规则互认,为汽车企业国际化发展创造良好环境。

]]>
12月11日消息,工业和信息化部日前介绍,我国新能源汽车快速发展的同时,融入了人工智能、互联网、大数据等多种变革性技术,汽车产业生态正在全面重塑。

新能源汽车的产业链、价值链正持续向交通、能源、信息通信等领域拓展,我国正在加快构建汽车产业的新型生态。

工业和信息化部副部长辛国斌提出三点建议:

一是将积极推动新能源和智能网联汽车创新发展,支持新一代动力电池、新型底盘、智能驾驶等技术研发和产业化;持续完善车辆购置税减免、积分管理等支持政策;稳定开展智能网联汽车生产准入和上路通行试点,开展城市级“车-能-路-云”一体化试点应用;强化基础设施建设和要素资源保障,支持“车-能-路-云”融合创新发展。

二是将进一步优化行业管理政策,推动机动车生产准入立法,提升公共管理科学性、规范性和透明度;强化产业发展统筹布局,研究建立产能预警机制,维护市场有序竞争秩序;坚持底线思维,加强新能源汽车功能安全、网络安全、数据安全管理。

三是将进一步深化国际合作交流,持续打造一流营商环境,加强与各个国家和地区在贸易、投资、技术等领域的合作,充分借助联合国世界车辆法规协调论坛等平台,加快碳核算、自动驾驶等标准制定和规则互认,为汽车企业国际化发展创造良好环境。

]]>
//www.cghlg.com/article/202312/453796.htm Tue, 12 Dec 2023 11:32:54 +0800
<![CDATA[ 汽车线性稳压器L99VR02J:车载电源设计的理想之选 ]]>

1702349332774011.png

随着汽车电动化和智能化的发展,低压差线性稳压器(LDO)在车载电源设计中显得越来越重要,尤其是在车载电源、车载信息娱乐系统、车身控制、自动驾驶等低压应用中。

1702349360657148.png

L99VR02J是一款为汽车应用设计的低压差线性稳压器,能够提供高达500 mA的负载电流,并在禁用时仅消耗1 μA的静态电流。该LDO的工作输入电压范围在2.15到28 V之间,提供8个0.8到5.0 V的可选固定输出电压。

image.png

L99VR02J能够直接连接到电池,具有使能、复位、自主看门狗、先进热报警、快速输出放电和IShort控制功能。

该产品提供PowerSSO-12封装,优异的散热能力确保在高温环境下与电子应用构成完美搭配。

1702349399811260.png

主要特性:

✦   符合AEC-Q100标准

✦   工作电压范围为2.15至28 V

✦   兼容电池和后级稳压器工作模式

✦   低压差

✦   低静态电流消耗

✦   用户可选输出电压(0.8 V、1.2 V、1.5 V、1.8 V、2.5 V、2.8 V、3.3 V或5 V)

✦   输出电压精度±2%

✦   用于启用/禁用电压稳压器的使能输入

✦   输出电压监测与复位输出

✦   通过外部电容的可编程自主看门狗

✦   欠压锁定 (UVLO)

✦   快速输出放电

✦   热关断和短路电流限制

✦   先进热警告和输出过压诊断

✦   可编程短路输出电流

✦   宽工作温度范围(Tj= -40至175 °C)

✦   根据ISO 26262,处理ASIL要求时,为需要的客户提供的有限的文档支持

应用场景:

L99VR02J汽车级低压差线性稳压器可用于多种电子应用,如导航系统,MCU电源,音频系统,动力系统,汽车显示器驱动,传感器(例如相机传感器),便携式电子应用,电池供电仪器,医疗保健等等。

1702349425437482.png

相关资源:

为方便用户开发,ST提供了丰富的技术资源,包括评估板、用户手册、嵌入式软件、产品演示等。

❖   评估板:基于L99VR02J的车规级LDO评估板,具有可配置输出电压和诊断功能

这款基于L99VR02J LDO的即用型评估板,对于在高温环境中,需要稳定电源的电子应用来说是理想选择。该评估板可以单独配置使用,也可以配合微控制器提供看门狗信号,监控连接。

作为AutoDevKit生态系统的一部分,ST提供了一个专用软件包,其中包括用于AEK-POW-LDOV02J评估板的专用驱动器以及用于快速设计的示例代码。

1702349446867761.png

❖   用户手册:具有可配置输出电压的AEK-POW-LDOV02J汽车级LDO入门

]]>

1702349332774011.png

随着汽车电动化和智能化的发展,低压差线性稳压器(LDO)在车载电源设计中显得越来越重要,尤其是在车载电源、车载信息娱乐系统、车身控制、自动驾驶等低压应用中。

1702349360657148.png

L99VR02J是一款为汽车应用设计的低压差线性稳压器,能够提供高达500 mA的负载电流,并在禁用时仅消耗1 μA的静态电流。该LDO的工作输入电压范围在2.15到28 V之间,提供8个0.8到5.0 V的可选固定输出电压。

image.png

L99VR02J能够直接连接到电池,具有使能、复位、自主看门狗、先进热报警、快速输出放电和IShort控制功能。

该产品提供PowerSSO-12封装,优异的散热能力确保在高温环境下与电子应用构成完美搭配。

1702349399811260.png

主要特性:

✦   符合AEC-Q100标准

✦   工作电压范围为2.15至28 V

✦   兼容电池和后级稳压器工作模式

✦   低压差

✦   低静态电流消耗

✦   用户可选输出电压(0.8 V、1.2 V、1.5 V、1.8 V、2.5 V、2.8 V、3.3 V或5 V)

✦   输出电压精度±2%

✦   用于启用/禁用电压稳压器的使能输入

✦   输出电压监测与复位输出

✦   通过外部电容的可编程自主看门狗

✦   欠压锁定 (UVLO)

✦   快速输出放电

✦   热关断和短路电流限制

✦   先进热警告和输出过压诊断

✦   可编程短路输出电流

✦   宽工作温度范围(Tj= -40至175 °C)

✦   根据ISO 26262,处理ASIL要求时,为需要的客户提供的有限的文档支持

应用场景:

L99VR02J汽车级低压差线性稳压器可用于多种电子应用,如导航系统,MCU电源,音频系统,动力系统,汽车显示器驱动,传感器(例如相机传感器),便携式电子应用,电池供电仪器,医疗保健等等。

1702349425437482.png

相关资源:

为方便用户开发,ST提供了丰富的技术资源,包括评估板、用户手册、嵌入式软件、产品演示等。

❖   评估板:基于L99VR02J的车规级LDO评估板,具有可配置输出电压和诊断功能

这款基于L99VR02J LDO的即用型评估板,对于在高温环境中,需要稳定电源的电子应用来说是理想选择。该评估板可以单独配置使用,也可以配合微控制器提供看门狗信号,监控连接。

作为AutoDevKit生态系统的一部分,ST提供了一个专用软件包,其中包括用于AEK-POW-LDOV02J评估板的专用驱动器以及用于快速设计的示例代码。

1702349446867761.png

❖   用户手册:具有可配置输出电压的AEK-POW-LDOV02J汽车级LDO入门

]]>
//www.cghlg.com/article/202312/453795.htm Tue, 12 Dec 2023 10:47:51 +0800
<![CDATA[ 巴斯夫发布企业战略实施新进展,并承诺范围3.1碳减排目标 ]]>

■   推出差异化管理概念,并发布全新财务关键绩效指标

■   承诺到2030年,范围 3.1 碳排放量较 2022 年减少 15%;到 2050 年,实现范围 3.1 净零排放巴斯夫在实现净零排放的道路上不断迈进,对范围 3.1 碳减排目标作出承诺。在德国路德维希港召开的投资者和分析师会议上,巴斯夫集团执行董事会主席薄睦乐博士(Dr. Martin Brudermüller)与首席财务官 Dirk Elvermann 博士报告了自 2018 年发布企业战略以来的实施进展。两人简述如何落实企业战略,实现现金使用优先事项,同时提出通过差异化管理提高业务盈利能力。

落实现金使用优先事项

巴斯夫企业战略立足于内生性增长。2018 年至 2022 年期间,公司约 60% 的支出用于资本支出和研发。

薄睦乐博士指出,巴斯夫集团执行董事会高度重视股东回报和具有吸引力的股息。他表示:“过去五年中,巴斯夫有三年增加了股息,即使是在外部环境不利的 2020 和 2022 年,股息依然维持在前一年的同期水平。”2018 年以来,公司累计派息总额达 158 亿欧元,年平均股息率为 5.6 %。过去十年,巴斯夫的丰厚股息建立在公司强劲的现金流上。2013 年至 2022 年期间,经营活动产生的现金流平均每年达 77 亿欧元,自由现金流平均每年达 34 亿欧元。

巴斯夫积极管理其业务组合,聚焦创新型增长业务,过去五年,公司剥离了 50 亿欧元销售额的业务,并收购了40 亿欧元销售额的业务。

差异化管理业务,提高盈利能力

2018 年所提出的企业战略的关键要素是通过赋能业务部门,更好地满足客户需求,将巴斯夫转变为以客户为中心的组织。之后,巴斯夫已采取各项措施以提高各业务部门的管理能力。

Elvermann 博士表示:“公司正迈出坚实一步,调整对各个业务的管理模式,来继续提升巴斯夫的竞争力。我们将以更具差异化的方式管理每个业务部门,结合差异化管理、一体化业务以及整合性企业的组织结构所带来的优势。”

通过差异化管理,各项业务将进一步调整其特定业务模式和流程,同时适配相应的流程结构、信息技术系统和管理框架。对于与一体化业务整合程度较低的业务来说,公司将为它们提供更多自主空间,以便更好地满足其客户行业的需求,同时保持作为整合性企业的优势。这种方式将被应用于公司的表面处理技术业务领域中的电池材料和涂料业务部,以及农业解决方案。

巴斯夫将继续以价值链为导向,管理化学品、材料、工业解决方案和营养与护理等一体化业务,提高资源利用效率,整合需求,同步、深度集成生产,从而创造价值。展望未来,价值链管理将变得更为重要,公司将为其产品提供产品碳足迹、生物质或可回收成分等可持续附加值。

2024 年 1 月起,巴斯夫将采用新的关键绩效指标(KPI)指导整个集团。短中期内,公司会更重视不计特殊项目的息税、折旧、摊销前收益和现金流。同时,公司将继续把已动用资本回报率(ROCE)作为中期管理关键绩效指标,持续关注资产盈利能力。

根据差异化管理,巴斯夫将为其业务运营部门引入不同的关键绩效指标。对于专注于单一行业的业务,巴斯夫将更加严格地按照该行业特定的关键绩效指标来进行指导,对于与一体化业务整合程度较深的业务,则将按照价值链进行管理。

巴斯夫将高度重视所有业务产生的现金流。此外,不计特殊项目的息税、折旧、摊销前收益率目标如下:

■    一体化业务:周期内17%

■   电池材料:2030 年前达到或超过 30%(不包括金属交易)

■   涂料:中期达到或超过 15%

■   农业解决方案:中期达到或超过 23%

巴斯夫还将根据管理方法的变化,调整其外部报告和预测。《巴斯夫集团 2023 年业绩报告》预计于 2024 年 2 月 23 日发布。其中,对于集团层面,巴斯夫将预测不计特殊项目的息税、折旧、摊销前收益和自由现金流,而不再对销售额、不计特殊项目的息税前收益和已动用资本回报率(ROCE)进行展望。此外,在业务领域层面,公司将预测不计特殊项目的息税、折旧、摊销前收益和现金流。

继续迈向净零排放

巴斯夫还向投资者代表介绍了其在气候中和道路上取得的最新进展。巴斯夫在 2018 年企业战略中首次提出在实现业务增长的同时,确保二氧化碳排放量保持不变的目标。2021 年 3 月,巴斯夫大幅提高这一目标,设定范围 1 和范围 2 的具体减排目标:到 2030 年,较 2018 年减排 25%;到 2050 年,实现净零排放。为了实现该目标,巴斯夫聚焦使用可再生能源和碳减排技术。

巴斯夫在获取与其购买的原材料相关的可靠原始排放数据方面取得了进展。基于此,巴斯夫相信目前有足够坚实的基础来制定范围 3.1 的减排目标。巴斯夫的最新目标是:到 2030 年,相关业务组合范围 3.1 的碳排放量较2022年减少 15%,即每一千克所购原材料产生的二氧化碳从 1.57 千克减少到 1.34 千克。

薄睦乐博士表示:“我们的重点是改善销售产品的碳足迹,所以制定了这一具体目标,并以每千克巴斯夫产品的二氧化碳排放量为单位去计算碳足迹。我们对短长期目标和努力方向十分明确:巴斯夫将与客户和供应商合作,共同寻找经济、环保、实用的解决方案。我们的长期目标也很清晰:我们承诺 2050 年前实现范围 3.1净零排放。”

]]>

■   推出差异化管理概念,并发布全新财务关键绩效指标

■   承诺到2030年,范围 3.1 碳排放量较 2022 年减少 15%;到 2050 年,实现范围 3.1 净零排放巴斯夫在实现净零排放的道路上不断迈进,对范围 3.1 碳减排目标作出承诺。在德国路德维希港召开的投资者和分析师会议上,巴斯夫集团执行董事会主席薄睦乐博士(Dr. Martin Brudermüller)与首席财务官 Dirk Elvermann 博士报告了自 2018 年发布企业战略以来的实施进展。两人简述如何落实企业战略,实现现金使用优先事项,同时提出通过差异化管理提高业务盈利能力。

落实现金使用优先事项

巴斯夫企业战略立足于内生性增长。2018 年至 2022 年期间,公司约 60% 的支出用于资本支出和研发。

薄睦乐博士指出,巴斯夫集团执行董事会高度重视股东回报和具有吸引力的股息。他表示:“过去五年中,巴斯夫有三年增加了股息,即使是在外部环境不利的 2020 和 2022 年,股息依然维持在前一年的同期水平。”2018 年以来,公司累计派息总额达 158 亿欧元,年平均股息率为 5.6 %。过去十年,巴斯夫的丰厚股息建立在公司强劲的现金流上。2013 年至 2022 年期间,经营活动产生的现金流平均每年达 77 亿欧元,自由现金流平均每年达 34 亿欧元。

巴斯夫积极管理其业务组合,聚焦创新型增长业务,过去五年,公司剥离了 50 亿欧元销售额的业务,并收购了40 亿欧元销售额的业务。

差异化管理业务,提高盈利能力

2018 年所提出的企业战略的关键要素是通过赋能业务部门,更好地满足客户需求,将巴斯夫转变为以客户为中心的组织。之后,巴斯夫已采取各项措施以提高各业务部门的管理能力。

Elvermann 博士表示:“公司正迈出坚实一步,调整对各个业务的管理模式,来继续提升巴斯夫的竞争力。我们将以更具差异化的方式管理每个业务部门,结合差异化管理、一体化业务以及整合性企业的组织结构所带来的优势。”

通过差异化管理,各项业务将进一步调整其特定业务模式和流程,同时适配相应的流程结构、信息技术系统和管理框架。对于与一体化业务整合程度较低的业务来说,公司将为它们提供更多自主空间,以便更好地满足其客户行业的需求,同时保持作为整合性企业的优势。这种方式将被应用于公司的表面处理技术业务领域中的电池材料和涂料业务部,以及农业解决方案。

巴斯夫将继续以价值链为导向,管理化学品、材料、工业解决方案和营养与护理等一体化业务,提高资源利用效率,整合需求,同步、深度集成生产,从而创造价值。展望未来,价值链管理将变得更为重要,公司将为其产品提供产品碳足迹、生物质或可回收成分等可持续附加值。

2024 年 1 月起,巴斯夫将采用新的关键绩效指标(KPI)指导整个集团。短中期内,公司会更重视不计特殊项目的息税、折旧、摊销前收益和现金流。同时,公司将继续把已动用资本回报率(ROCE)作为中期管理关键绩效指标,持续关注资产盈利能力。

根据差异化管理,巴斯夫将为其业务运营部门引入不同的关键绩效指标。对于专注于单一行业的业务,巴斯夫将更加严格地按照该行业特定的关键绩效指标来进行指导,对于与一体化业务整合程度较深的业务,则将按照价值链进行管理。

巴斯夫将高度重视所有业务产生的现金流。此外,不计特殊项目的息税、折旧、摊销前收益率目标如下:

■    一体化业务:周期内17%

■   电池材料:2030 年前达到或超过 30%(不包括金属交易)

■   涂料:中期达到或超过 15%

■   农业解决方案:中期达到或超过 23%

巴斯夫还将根据管理方法的变化,调整其外部报告和预测。《巴斯夫集团 2023 年业绩报告》预计于 2024 年 2 月 23 日发布。其中,对于集团层面,巴斯夫将预测不计特殊项目的息税、折旧、摊销前收益和自由现金流,而不再对销售额、不计特殊项目的息税前收益和已动用资本回报率(ROCE)进行展望。此外,在业务领域层面,公司将预测不计特殊项目的息税、折旧、摊销前收益和现金流。

继续迈向净零排放

巴斯夫还向投资者代表介绍了其在气候中和道路上取得的最新进展。巴斯夫在 2018 年企业战略中首次提出在实现业务增长的同时,确保二氧化碳排放量保持不变的目标。2021 年 3 月,巴斯夫大幅提高这一目标,设定范围 1 和范围 2 的具体减排目标:到 2030 年,较 2018 年减排 25%;到 2050 年,实现净零排放。为了实现该目标,巴斯夫聚焦使用可再生能源和碳减排技术。

巴斯夫在获取与其购买的原材料相关的可靠原始排放数据方面取得了进展。基于此,巴斯夫相信目前有足够坚实的基础来制定范围 3.1 的减排目标。巴斯夫的最新目标是:到 2030 年,相关业务组合范围 3.1 的碳排放量较2022年减少 15%,即每一千克所购原材料产生的二氧化碳从 1.57 千克减少到 1.34 千克。

薄睦乐博士表示:“我们的重点是改善销售产品的碳足迹,所以制定了这一具体目标,并以每千克巴斯夫产品的二氧化碳排放量为单位去计算碳足迹。我们对短长期目标和努力方向十分明确:巴斯夫将与客户和供应商合作,共同寻找经济、环保、实用的解决方案。我们的长期目标也很清晰:我们承诺 2050 年前实现范围 3.1净零排放。”

]]>
//www.cghlg.com/article/202312/453794.htm Tue, 12 Dec 2023 10:36:29 +0800
<![CDATA[ 芯片订单有逆转之势,台积电拉响警报 ]]> 近日,三星晶圆代工业务陆续传来好消息,首先,AMD 正在认真考虑使用三星 4nm 制程产线量产新一代 CPU,这表明三星 4nm 工艺的技术和良率水平达到了一个新的高度,完全可以与台积电 4nm 制程匹敌了。之前这些年,AMD 最新 CPU 产品从来没有走出过台积电的制程产线,三星 4nm 制程的提升,进一步缩小了与台积电的差距,也给后者增添了压力。

不止 AMD,本来都属于台积电的高性能计算(HPC)芯片和车用芯片大单,近期也在改变动向,三星不断接到 AI 芯片代工订单,包括用于 AI 服务器和数据中心的 GPU 和 CPU。

此外,Google、微软和亚马逊等互联网巨头都在开发自家的 AI 处理器。无论是 AMD 这样的芯片大厂,还是互联网巨头,越来越希望减少对单一晶圆代工先进制程的依赖,随着三星晶圆代工技术和良率的不断成熟,给这些芯片大客户提供了更多选择。

突破 4nm 制程良率瓶颈

三星已将 4nm 制程良率提升到了 70% 左右,并重点在汽车芯片方面寻求突破。特斯拉已经将其新一代 FSD 芯片交由三星生产,该芯片将用于特斯拉计划于 3 年后量产的 Hardware 5(HW 5.0)计算机。

前些年,三星是特斯拉较早版本 FSD 芯片的代工合作伙伴,用于 Model 3、Model S、Model X 和 Model Y 等电动车,但在 2022 年,特斯拉转向了台积电,主要原因就是三星 4nm 制程的良率问题,此次,特斯拉订单回流的关键,就是三星 4nm 良率取得大幅度进步。从目前的情况来看,特斯拉计划同时采用台积电和三星的先进制程产线,用于量产其第五代汽车芯片。

除了良率大幅提升,三星的价格优势也很诱人。今年 5 月,三星董事长李在镕和特斯拉 CEO 马斯克会面,据悉,李在镕给出了非常优惠的价格。

除了特斯拉,今年 2 月,三星和 Ambarella 达成协议,代工生产后者用于处理 Level 2-Level 4 自动驾驶的 CV3-AD685 芯片;4 月,三星还赢得了 Mobileye 的 ADAS 芯片订单,之前,Mobileye 的相关芯片订单都是交给台积电的。

在汽车芯片站住脚后,三星先进制程将向 HPC 处理器进发,目标就是 AMD 和英伟达等大客户。

三星的 4nm 制程主要分为五代,逐年迭代,它们是 SF4E、SF4、SF4P、SF4X 和 SF4A。2024 年,该公司将推出其第四代 4nm 制程工艺 SF4X,对标的是台积电的 N4P 制程。据悉,SF4X 是专为数据中心 CPU 和 GPU 等高性能处理器量身定制的,这也是三星晶圆代工近年来第一个专门为高性能计算应用设计的工艺节点。

3nm 之争

台积电的 3nm 在 2022 年第四季度量产,但那时没有多少产量,直到 2023 年第三季度,苹果新机大规模采用 3nm 制程处理器后,才开始放量,不过,从目前的情况来看,台积电 2023 年版本的 3nm 制程还没有达到其规划的 N3E 版本水平,要到 2024 下半年才能进一步提升良率和成本效益。据台积电介绍,与 5nm 相比,N3E 在相同功耗下速度提升 18%,在相同速度下功耗降低 32%,逻辑密度提升约 60%、芯片密度提升 30%。

三星的首个版本 3nm(SF3E)制程工艺量产时间是领先台积电的,并且引入了全环绕栅极(GAA)技术,台积电 3nm 则仍在使用 FinFET 工艺。不过,三星的 3nm 制程订单较少,主要用于生产一些挖矿 ASIC。

对于三星来说,3nm 制程是其赶上台积电的机会,据报道,三星 LSI 部门正在开发 Exynos 2500,这是该公司首款采用 3nm 工艺的手机处理器,预计在 2024 下半年量产。如果三星自己设计的 3nm 制程 Exynos 处理器表现良好,可能会有更多客户将订单转向三星。

良率方面,目前来看,台积电的良率约为 70%,三星的也提升到了 60% 左右。

2024 年,台积电将推出升级版本的 3nm 工艺,也就是 N3E,到那时,台积电代工的性价比也将提升,三星的 3nm 必须进一步优化成本效益,才能与台积电竞争。

2024 下半年,三星也将推出新版本的 3nm(SF3)制程,据悉,与 SF4 相比,在相同功率下,SF3 的性能会提高 22%,在相同频率和晶体管数量下,功耗可降低 34%,逻辑面积减少 21%。

2025 年,三星计划推出新版本的 3nm 制程 SF3P,目标是争夺数据中心、云计算 CPU 和 GPU 订单。

三星欲在 2nm 翻盘

2025 年,三星将推出 2nm(SF2)制程,据悉,该工艺将采用背面供电技术,这样可以进一步提升性能,因为供电电路被移到芯片背面,给正面留出了集成更多晶体管的空间。

在 2nm 制程之后,三星将增加晶体管的纳米片数量,这样可以增强驱动电流,提高性能,因为更多的纳米片允许更多的电流流过晶体管,从而增强其开关能力和运行速度。更多的纳米片还可以更好地控制电流,有助于减少漏电流,从而降低功耗。改进的电流控制也意味着晶体管产生的热量更少,从而提高了电源效率。

台积电计划于 2025 年推出其 2nm 制程,也将采用纳米片工艺,到那时,三星已经在 GAA 晶体管方面拥有丰富的经验,这对晶圆代工很有利。因此,三星对 2nm 制程寄予厚望,希望在那时完全赶上台积电,无论是工艺技术,还是良率,可以与后者分庭抗礼。

总体来看,三星晶圆代工的 SF5,SF4 制程良率都达到了 70% 左右,基于此,2024 年,有几家大客户将回归。到 2025 年,SF2 制程很可能从台积电那里争夺更多订单。

台积电的挑战

目前,台积电稳坐在全球晶圆代工龙头宝座上,但该公司一点也不敢松懈,在竞争对手不断加快追赶脚步的情况下,台积电每年在新技术、工艺的研发,以及先进产线建设方面都投入了大量资金,然而,摩尔定律的逐渐「失效」使该公司的投资回报率不如前些年那么高了。

从 2023 年第三季度的财报数据来看,台积电 3nm、5nm 产线营收上涨非常明显,特别是 3nm,与 2022 年同期相比,今年对该公司整体营收提供了 6% 的贡献,而去年几乎为零。总体来看,台积电先进制程(5nm、4nm、3nm)填补了其它制程节点营收下滑所形成的空缺。

通过分析各个制程节点的营收数据。可以看出,台积电的每一个新制程工艺在出现 3 年后,其营收增长就停滞了,增量营收主要靠更先进的工艺来支撑。所以,该公司的营收增长几乎完全依赖于最先进制程工艺的迭代。而从 10nm 开始,台积电新制程工艺营收的迭代速度明显放慢了。

从 7nm 开始,先进制程工艺的研发难度大幅提升,主要体现在成本方面,5nm 的研发成本达到 90 多亿美元,3nm 的高成本已经吓退了台积电的传统大客户,要等到明年的新版本 N3E 将成本降下来后才能下单。未来,2nm、1nm 等新工艺发展的难度更大,投入更高。

制程工艺迭代的速度明显放慢,未来发展的难度越来越大,这就给竞争对手提供了追赶的空间和时间。

据 TrendForce 统计,三星晶圆代工市场份额从 2023 年第一季度的 9.9% 上升至第二季度的 11.7%,营收从 27.57 亿美元增加到 32.34 亿美元。台积电依然是该领域的霸主,但其市场份额下降至 56.4%。

此外,台积电的财务也存在着一些问题。

知名半导体分析师陆行之表示:「在看到代工客户库存降低,产能利用率逐步回升,3nm 手机和 4nm 制程 AI 芯片代工复苏之后,我反而开始被很多台积电退休高管询问,台积电到底怎么了?」

陆行之说,很多人问,投资美国厂有经过董事会的事先讨论吗,还是董事会已经成为橡皮图章?这几年,台积电的债务为何一路攀升?

陆行之指出,近年来,因为大规模的资本支出而开始大量发行公司债,同时,台积电大量买入其它公司发行的债券。为何在张忠谋 2018 年退休之后,净现金(Net cash to equity ratio =17%)和现金流没出现问题的情况下,整体负债比例从 2018 年的 11% 增加到了 2023 年 6 月的 30%?

面对如此庞大又多样的公司债券及政府公债市场,台积电如何管理并应对可能的爆雷风险?从财务管理的角度来看,台积电是否已决定偏离当年张忠谋一直强调并严格执行的「财务保守」原则?

强敌加入战团

自 2021 年以来,英特尔积极投入晶圆代工业务(IFS),特别是在先进制程方面,明显加快了迭代的节奏。与台积电形成了既竞争又合作的关系。

为了提升竞争力,英特尔要在四年内快速迭代出五个先进制程节点,目前,Intel 7 制程已经量产,接下来,Intel 4 将登场,后续规划将进展到 Intel 3、Intel 20A 与 Intel 18A。

产能方面,英特尔已经陆续扩建位于美国亚利桑那州与俄勒冈州的晶圆厂,该公司还在墨西哥、爱尔兰、以色列等地设有晶圆厂,并在中国大陆和马来西亚设有封测工厂。

2022 年,英特尔宣布,规划投资欧盟半导体研发及制造项目,相关投资范围横跨德国、爱尔兰、意大利、法国、波兰等地。

晶圆代工客户方面,英特尔表示,高通将采用其 Intel 20A 制程来生产芯片,联发科也将利用 IFS 的成熟制程来制造芯片。另外,AWS 将是第一个采用英特尔 IFS 封装解决方案的客户。

当然,英特尔在晶圆代工发展道路上仍处于起步阶段,短时间内还难以对台积电和三星构成威胁。但新竞争者的加入,而且还是这样一家强敌,会分食更多原本属于台积电的蛋糕,这显然是后者不愿意看到的。

结语

从目前全球晶圆代工市场的格局来看,谁掌控了先进制程(7nm 及以下)技术和客户,谁就能在整体市场中占有很高的份额,典型代表就是台积电和三星,因为全球 95% 以上的 7nm 及更先进制程晶圆代工订单都被这两家瓜分了,而它们的综合市场占有率分别达到了 56% 和 18% 左右。

要追赶台积电,三星的关键点也是先进制程,而在 7nm、5nm 和 3nm 这几波竞争中,三星都明显落后。但随着技术迭代越来越难,台积电最先进制程工艺前进的脚步在放缓,同时,三星虽然落后,但其迭代的速度是在提升的。此消彼长,在可预见的 2nm 制程量产阶段,三星有望抗衡台积电。

对于台积电来说,技术和商业拓展,以及美国政府带来的额外负担越来越重。未来几年,台积电在全球晶圆代工市场的份额很有可能会缓慢下滑。也希望三星和英特尔的市占率能够逐步提升,毕竟,长时间的一家独大,无论是对整个市场,还是对诸多 IC 设计公司来说,都缺少了更多、更好的选择空间。

]]>
近日,三星晶圆代工业务陆续传来好消息,首先,AMD 正在认真考虑使用三星 4nm 制程产线量产新一代 CPU,这表明三星 4nm 工艺的技术和良率水平达到了一个新的高度,完全可以与台积电 4nm 制程匹敌了。之前这些年,AMD 最新 CPU 产品从来没有走出过台积电的制程产线,三星 4nm 制程的提升,进一步缩小了与台积电的差距,也给后者增添了压力。

不止 AMD,本来都属于台积电的高性能计算(HPC)芯片和车用芯片大单,近期也在改变动向,三星不断接到 AI 芯片代工订单,包括用于 AI 服务器和数据中心的 GPU 和 CPU。

此外,Google、微软和亚马逊等互联网巨头都在开发自家的 AI 处理器。无论是 AMD 这样的芯片大厂,还是互联网巨头,越来越希望减少对单一晶圆代工先进制程的依赖,随着三星晶圆代工技术和良率的不断成熟,给这些芯片大客户提供了更多选择。

突破 4nm 制程良率瓶颈

三星已将 4nm 制程良率提升到了 70% 左右,并重点在汽车芯片方面寻求突破。特斯拉已经将其新一代 FSD 芯片交由三星生产,该芯片将用于特斯拉计划于 3 年后量产的 Hardware 5(HW 5.0)计算机。

前些年,三星是特斯拉较早版本 FSD 芯片的代工合作伙伴,用于 Model 3、Model S、Model X 和 Model Y 等电动车,但在 2022 年,特斯拉转向了台积电,主要原因就是三星 4nm 制程的良率问题,此次,特斯拉订单回流的关键,就是三星 4nm 良率取得大幅度进步。从目前的情况来看,特斯拉计划同时采用台积电和三星的先进制程产线,用于量产其第五代汽车芯片。

除了良率大幅提升,三星的价格优势也很诱人。今年 5 月,三星董事长李在镕和特斯拉 CEO 马斯克会面,据悉,李在镕给出了非常优惠的价格。

除了特斯拉,今年 2 月,三星和 Ambarella 达成协议,代工生产后者用于处理 Level 2-Level 4 自动驾驶的 CV3-AD685 芯片;4 月,三星还赢得了 Mobileye 的 ADAS 芯片订单,之前,Mobileye 的相关芯片订单都是交给台积电的。

在汽车芯片站住脚后,三星先进制程将向 HPC 处理器进发,目标就是 AMD 和英伟达等大客户。

三星的 4nm 制程主要分为五代,逐年迭代,它们是 SF4E、SF4、SF4P、SF4X 和 SF4A。2024 年,该公司将推出其第四代 4nm 制程工艺 SF4X,对标的是台积电的 N4P 制程。据悉,SF4X 是专为数据中心 CPU 和 GPU 等高性能处理器量身定制的,这也是三星晶圆代工近年来第一个专门为高性能计算应用设计的工艺节点。

3nm 之争

台积电的 3nm 在 2022 年第四季度量产,但那时没有多少产量,直到 2023 年第三季度,苹果新机大规模采用 3nm 制程处理器后,才开始放量,不过,从目前的情况来看,台积电 2023 年版本的 3nm 制程还没有达到其规划的 N3E 版本水平,要到 2024 下半年才能进一步提升良率和成本效益。据台积电介绍,与 5nm 相比,N3E 在相同功耗下速度提升 18%,在相同速度下功耗降低 32%,逻辑密度提升约 60%、芯片密度提升 30%。

三星的首个版本 3nm(SF3E)制程工艺量产时间是领先台积电的,并且引入了全环绕栅极(GAA)技术,台积电 3nm 则仍在使用 FinFET 工艺。不过,三星的 3nm 制程订单较少,主要用于生产一些挖矿 ASIC。

对于三星来说,3nm 制程是其赶上台积电的机会,据报道,三星 LSI 部门正在开发 Exynos 2500,这是该公司首款采用 3nm 工艺的手机处理器,预计在 2024 下半年量产。如果三星自己设计的 3nm 制程 Exynos 处理器表现良好,可能会有更多客户将订单转向三星。

良率方面,目前来看,台积电的良率约为 70%,三星的也提升到了 60% 左右。

2024 年,台积电将推出升级版本的 3nm 工艺,也就是 N3E,到那时,台积电代工的性价比也将提升,三星的 3nm 必须进一步优化成本效益,才能与台积电竞争。

2024 下半年,三星也将推出新版本的 3nm(SF3)制程,据悉,与 SF4 相比,在相同功率下,SF3 的性能会提高 22%,在相同频率和晶体管数量下,功耗可降低 34%,逻辑面积减少 21%。

2025 年,三星计划推出新版本的 3nm 制程 SF3P,目标是争夺数据中心、云计算 CPU 和 GPU 订单。

三星欲在 2nm 翻盘

2025 年,三星将推出 2nm(SF2)制程,据悉,该工艺将采用背面供电技术,这样可以进一步提升性能,因为供电电路被移到芯片背面,给正面留出了集成更多晶体管的空间。

在 2nm 制程之后,三星将增加晶体管的纳米片数量,这样可以增强驱动电流,提高性能,因为更多的纳米片允许更多的电流流过晶体管,从而增强其开关能力和运行速度。更多的纳米片还可以更好地控制电流,有助于减少漏电流,从而降低功耗。改进的电流控制也意味着晶体管产生的热量更少,从而提高了电源效率。

台积电计划于 2025 年推出其 2nm 制程,也将采用纳米片工艺,到那时,三星已经在 GAA 晶体管方面拥有丰富的经验,这对晶圆代工很有利。因此,三星对 2nm 制程寄予厚望,希望在那时完全赶上台积电,无论是工艺技术,还是良率,可以与后者分庭抗礼。

总体来看,三星晶圆代工的 SF5,SF4 制程良率都达到了 70% 左右,基于此,2024 年,有几家大客户将回归。到 2025 年,SF2 制程很可能从台积电那里争夺更多订单。

台积电的挑战

目前,台积电稳坐在全球晶圆代工龙头宝座上,但该公司一点也不敢松懈,在竞争对手不断加快追赶脚步的情况下,台积电每年在新技术、工艺的研发,以及先进产线建设方面都投入了大量资金,然而,摩尔定律的逐渐「失效」使该公司的投资回报率不如前些年那么高了。

从 2023 年第三季度的财报数据来看,台积电 3nm、5nm 产线营收上涨非常明显,特别是 3nm,与 2022 年同期相比,今年对该公司整体营收提供了 6% 的贡献,而去年几乎为零。总体来看,台积电先进制程(5nm、4nm、3nm)填补了其它制程节点营收下滑所形成的空缺。

通过分析各个制程节点的营收数据。可以看出,台积电的每一个新制程工艺在出现 3 年后,其营收增长就停滞了,增量营收主要靠更先进的工艺来支撑。所以,该公司的营收增长几乎完全依赖于最先进制程工艺的迭代。而从 10nm 开始,台积电新制程工艺营收的迭代速度明显放慢了。

从 7nm 开始,先进制程工艺的研发难度大幅提升,主要体现在成本方面,5nm 的研发成本达到 90 多亿美元,3nm 的高成本已经吓退了台积电的传统大客户,要等到明年的新版本 N3E 将成本降下来后才能下单。未来,2nm、1nm 等新工艺发展的难度更大,投入更高。

制程工艺迭代的速度明显放慢,未来发展的难度越来越大,这就给竞争对手提供了追赶的空间和时间。

据 TrendForce 统计,三星晶圆代工市场份额从 2023 年第一季度的 9.9% 上升至第二季度的 11.7%,营收从 27.57 亿美元增加到 32.34 亿美元。台积电依然是该领域的霸主,但其市场份额下降至 56.4%。

此外,台积电的财务也存在着一些问题。

知名半导体分析师陆行之表示:「在看到代工客户库存降低,产能利用率逐步回升,3nm 手机和 4nm 制程 AI 芯片代工复苏之后,我反而开始被很多台积电退休高管询问,台积电到底怎么了?」

陆行之说,很多人问,投资美国厂有经过董事会的事先讨论吗,还是董事会已经成为橡皮图章?这几年,台积电的债务为何一路攀升?

陆行之指出,近年来,因为大规模的资本支出而开始大量发行公司债,同时,台积电大量买入其它公司发行的债券。为何在张忠谋 2018 年退休之后,净现金(Net cash to equity ratio =17%)和现金流没出现问题的情况下,整体负债比例从 2018 年的 11% 增加到了 2023 年 6 月的 30%?

面对如此庞大又多样的公司债券及政府公债市场,台积电如何管理并应对可能的爆雷风险?从财务管理的角度来看,台积电是否已决定偏离当年张忠谋一直强调并严格执行的「财务保守」原则?

强敌加入战团

自 2021 年以来,英特尔积极投入晶圆代工业务(IFS),特别是在先进制程方面,明显加快了迭代的节奏。与台积电形成了既竞争又合作的关系。

为了提升竞争力,英特尔要在四年内快速迭代出五个先进制程节点,目前,Intel 7 制程已经量产,接下来,Intel 4 将登场,后续规划将进展到 Intel 3、Intel 20A 与 Intel 18A。

产能方面,英特尔已经陆续扩建位于美国亚利桑那州与俄勒冈州的晶圆厂,该公司还在墨西哥、爱尔兰、以色列等地设有晶圆厂,并在中国大陆和马来西亚设有封测工厂。

2022 年,英特尔宣布,规划投资欧盟半导体研发及制造项目,相关投资范围横跨德国、爱尔兰、意大利、法国、波兰等地。

晶圆代工客户方面,英特尔表示,高通将采用其 Intel 20A 制程来生产芯片,联发科也将利用 IFS 的成熟制程来制造芯片。另外,AWS 将是第一个采用英特尔 IFS 封装解决方案的客户。

当然,英特尔在晶圆代工发展道路上仍处于起步阶段,短时间内还难以对台积电和三星构成威胁。但新竞争者的加入,而且还是这样一家强敌,会分食更多原本属于台积电的蛋糕,这显然是后者不愿意看到的。

结语

从目前全球晶圆代工市场的格局来看,谁掌控了先进制程(7nm 及以下)技术和客户,谁就能在整体市场中占有很高的份额,典型代表就是台积电和三星,因为全球 95% 以上的 7nm 及更先进制程晶圆代工订单都被这两家瓜分了,而它们的综合市场占有率分别达到了 56% 和 18% 左右。

要追赶台积电,三星的关键点也是先进制程,而在 7nm、5nm 和 3nm 这几波竞争中,三星都明显落后。但随着技术迭代越来越难,台积电最先进制程工艺前进的脚步在放缓,同时,三星虽然落后,但其迭代的速度是在提升的。此消彼长,在可预见的 2nm 制程量产阶段,三星有望抗衡台积电。

对于台积电来说,技术和商业拓展,以及美国政府带来的额外负担越来越重。未来几年,台积电在全球晶圆代工市场的份额很有可能会缓慢下滑。也希望三星和英特尔的市占率能够逐步提升,毕竟,长时间的一家独大,无论是对整个市场,还是对诸多 IC 设计公司来说,都缺少了更多、更好的选择空间。

]]>
//www.cghlg.com/article/202312/453793.htm Tue, 12 Dec 2023 10:16:00 +0800
<![CDATA[ AI 芯片的「护城河」,难以逾越 ]]> 在硅谷刚刚上演的关于 OpenAI 未来的戏剧中,有一个支线情节涉及其首席执行官 Sam Altman 雄心勃勃的想要成立芯片企业。

在被赶下台并重新掌管公司之前,Altman 曾寻求从中东投资者和软银创始人孙正义那里筹集高达 1000 亿美元的资金,以建立一个与行业巨头英伟达和台积电竞争的竞争对手。这将是一项艰巨的任务。对于 AI 芯片来说,1000 亿美元可能也走不了多远。

鉴于这家英伟达和台积电对生成人工智能的所有事物都至关重要,Altman 不太可能是唯一有希望与他们竞争的人。AI 芯片领域的护城河是难以逾越的。

英伟达在图形处理器(GPU)市场的占有率约为 95%。这些计算机处理器最初是为图形设计的,但在机器学习等领域变得越来越重要。台积电拥有全球 90% 的先进芯片市场。这些生意利润丰厚,台积电的毛利率接近 60%,英伟达为 74%。台积电每年的销售额为 760 亿美元。这些令人印象深刻的数字让人觉得似乎有足够的空间来容纳更多的竞争者。

英伟达人工智能芯片的全球短缺使得垂直整合的前景变得更具吸引力。随着开发和训练高级 AI 模型所需的 GPU 数量快速增长,AI 公司盈利的关键在于稳定地获得 GPU。这解释了为什么全球科技巨头一直在争相开发针对其工作流程进行优化的精简芯片,例如用于训练和运行人工智能大型语言模型的数据中心服务器的芯片。

公司设计定制芯片是一回事。但英伟达的盈利能力并非来自于使芯片具有成本效益,而是来自于为广泛的任务和行业提供一站式解决方案。例如,Nvidia 的 HGX H100 系统每个售价约为 30 万美元,用于加速从金融应用程序到分析的所有工作量。

HGX H100 系统由 35,000 个零件组成,要为该系统找到一个可行的竞争对手,需要的不仅仅是设计一个新芯片。Nvidia 开发 GPU 已经有二十多年了。这一领先优势包括硬件和相关软件库,受到数千项专利的保护。

即使抛开设计新人工智能芯片的挑战,制造才是真正的挑战所在。建立晶圆代工厂是第一个障碍。尽管台积电拥有三十多年建设「晶圆厂」的经验,但预计还需要三年多的时间才能让其在亚利桑那州建设的美国工厂开始投产。该工厂的总投资预计约为 400 亿美元。

运营这些工厂需要一支拥有电气工程、物理学或材料科学高级学位的高技能工人队伍。技术工人短缺已经推迟了亚利桑那州工厂的开工日期。

另一个问题是购买进入工厂的芯片制造设备。荷兰制造商 ASML 垄断了对生产先进芯片至关重要的极紫外光刻机。这些机器的等待时间平均约为两年,每台机器的成本超过 3 亿美元。

但到目前为止,专利仍然是最大的障碍。台积电是全球最大的专利持有者之一,拥有超过 52,000 项与芯片制造相关的专利。其中,约 3,000 个采用先进封装,这是人工智能芯片的一项关键技术,可提高性能,台积电在合同制造方面比竞争对手三星具有优势。台积电在该技术上八年多的投资进一步提高了进入壁垒。

对于新进入者来说,应对这一切意味着漫长的交货时间,这在快速发展的行业中尤其危险。与此同时,英伟达和台积电的丰厚利润意味着更多的现金用于研发,加快了下一代技术发布的步伐,要知道台积电每年的资本支出超过 300 亿美元。过去一年,由于势头对英伟达和台积电有利,它们与竞争对手的差距一直在扩大。目前,即使是他们最大的竞争对手也没有足够的能力来缩小这一差距,更不用说新的加入者了。

英伟达在 AI 芯片领域「霸权地位」,阻碍了初创公司的融资

据报道,投资者表示,英伟达在人工智能计算机芯片制造方面的霸主地位已经冷却了潜在竞争对手的风险投资,三季度季度美国交易数量比一年前下降了 80%。

随着英伟达在 AI 芯片领域发展壮大,试图制造与之竞争的芯片的公司也变得越来越难。风险投资家们将这些初创公司视为风险更高的赌注,他们最近开始不愿提供大笔资金注入。将一个芯片设计提升到一个可工作的原型可能要花费超过 5 亿美元,所以这种倒退很快就威胁到了创业公司的前景。

Eclipse Ventures 合伙人格雷格·赖肖 (Greg Reichow) 表示:「英伟达的持续主导地位充分说明了打入这个市场的难度。这导致对这些公司的投资减少,或者至少对其中许多公司的投资减少。」

根据 PitchBook 的数据,截 8 月底,美国芯片初创公司已筹集 8.814 亿美元。相比之下,2022 年前三个季度的交易额为 17.9 亿美元。截至 8 月底,交易数量从 23 笔减少到 4 笔。

据科技网站 The Register 报道,AI 芯片初创公司 Mythic 总共筹集了约 1.6 亿美元资金,去年现金耗尽,几乎被迫停止运营。但几个月后的 3 月份,它成功筹集到了相对温和的 1300 万美元投资。Mythic 首席执行官戴夫·里克 (Dave Rick) 表示,英伟达「间接」加剧了整体 AI 芯片融资困境,因为投资者希望「投资巨额、回报丰厚的全垒打型投资」。里克表示,困难的经济状况加剧了周期性半导体行业的低迷。

寻求筹集现金的芯片初创公司面临着投资者更严格的要求。消息人士称,他们要求公司拥有一款在几个月内推出或已经产生销售的产品。大约两年前,芯片初创公司的新投资通常为 2 亿美元或 3 亿美元。PitchBook 分析师布伦丹·伯克 (Brendan Burke) 表示,这一数字已降至约 1 亿美元。

为了在 8 月份筹集 1 亿美元资金,Tenstorrent 夸耀了首席执行官吉姆·凯勒 (Jim Keller),他是一位近乎传奇的芯片架构师,曾为苹果、AMD 和特斯拉设计过芯片。

D-Matrix 预计今年的收入将低于 1000 万美元,但在微软的财务支持以及 Windows 制造商承诺在明年推出后测试 d-Matrix 的新型 AI 芯片的支持下,D-Matrix 筹集了 1.1 亿美元。

虽然英伟达影子下的这些芯片制造商处境艰难,但人工智能软件和相关技术领域的初创公司却没有面临同样的限制。根据 PitchBook 的数据,截至 8 月份,他们今年筹集了约 240 亿美元的资金。

]]>
在硅谷刚刚上演的关于 OpenAI 未来的戏剧中,有一个支线情节涉及其首席执行官 Sam Altman 雄心勃勃的想要成立芯片企业。

在被赶下台并重新掌管公司之前,Altman 曾寻求从中东投资者和软银创始人孙正义那里筹集高达 1000 亿美元的资金,以建立一个与行业巨头英伟达和台积电竞争的竞争对手。这将是一项艰巨的任务。对于 AI 芯片来说,1000 亿美元可能也走不了多远。

鉴于这家英伟达和台积电对生成人工智能的所有事物都至关重要,Altman 不太可能是唯一有希望与他们竞争的人。AI 芯片领域的护城河是难以逾越的。

英伟达在图形处理器(GPU)市场的占有率约为 95%。这些计算机处理器最初是为图形设计的,但在机器学习等领域变得越来越重要。台积电拥有全球 90% 的先进芯片市场。这些生意利润丰厚,台积电的毛利率接近 60%,英伟达为 74%。台积电每年的销售额为 760 亿美元。这些令人印象深刻的数字让人觉得似乎有足够的空间来容纳更多的竞争者。

英伟达人工智能芯片的全球短缺使得垂直整合的前景变得更具吸引力。随着开发和训练高级 AI 模型所需的 GPU 数量快速增长,AI 公司盈利的关键在于稳定地获得 GPU。这解释了为什么全球科技巨头一直在争相开发针对其工作流程进行优化的精简芯片,例如用于训练和运行人工智能大型语言模型的数据中心服务器的芯片。

公司设计定制芯片是一回事。但英伟达的盈利能力并非来自于使芯片具有成本效益,而是来自于为广泛的任务和行业提供一站式解决方案。例如,Nvidia 的 HGX H100 系统每个售价约为 30 万美元,用于加速从金融应用程序到分析的所有工作量。

HGX H100 系统由 35,000 个零件组成,要为该系统找到一个可行的竞争对手,需要的不仅仅是设计一个新芯片。Nvidia 开发 GPU 已经有二十多年了。这一领先优势包括硬件和相关软件库,受到数千项专利的保护。

即使抛开设计新人工智能芯片的挑战,制造才是真正的挑战所在。建立晶圆代工厂是第一个障碍。尽管台积电拥有三十多年建设「晶圆厂」的经验,但预计还需要三年多的时间才能让其在亚利桑那州建设的美国工厂开始投产。该工厂的总投资预计约为 400 亿美元。

运营这些工厂需要一支拥有电气工程、物理学或材料科学高级学位的高技能工人队伍。技术工人短缺已经推迟了亚利桑那州工厂的开工日期。

另一个问题是购买进入工厂的芯片制造设备。荷兰制造商 ASML 垄断了对生产先进芯片至关重要的极紫外光刻机。这些机器的等待时间平均约为两年,每台机器的成本超过 3 亿美元。

但到目前为止,专利仍然是最大的障碍。台积电是全球最大的专利持有者之一,拥有超过 52,000 项与芯片制造相关的专利。其中,约 3,000 个采用先进封装,这是人工智能芯片的一项关键技术,可提高性能,台积电在合同制造方面比竞争对手三星具有优势。台积电在该技术上八年多的投资进一步提高了进入壁垒。

对于新进入者来说,应对这一切意味着漫长的交货时间,这在快速发展的行业中尤其危险。与此同时,英伟达和台积电的丰厚利润意味着更多的现金用于研发,加快了下一代技术发布的步伐,要知道台积电每年的资本支出超过 300 亿美元。过去一年,由于势头对英伟达和台积电有利,它们与竞争对手的差距一直在扩大。目前,即使是他们最大的竞争对手也没有足够的能力来缩小这一差距,更不用说新的加入者了。

英伟达在 AI 芯片领域「霸权地位」,阻碍了初创公司的融资

据报道,投资者表示,英伟达在人工智能计算机芯片制造方面的霸主地位已经冷却了潜在竞争对手的风险投资,三季度季度美国交易数量比一年前下降了 80%。

随着英伟达在 AI 芯片领域发展壮大,试图制造与之竞争的芯片的公司也变得越来越难。风险投资家们将这些初创公司视为风险更高的赌注,他们最近开始不愿提供大笔资金注入。将一个芯片设计提升到一个可工作的原型可能要花费超过 5 亿美元,所以这种倒退很快就威胁到了创业公司的前景。

Eclipse Ventures 合伙人格雷格·赖肖 (Greg Reichow) 表示:「英伟达的持续主导地位充分说明了打入这个市场的难度。这导致对这些公司的投资减少,或者至少对其中许多公司的投资减少。」

根据 PitchBook 的数据,截 8 月底,美国芯片初创公司已筹集 8.814 亿美元。相比之下,2022 年前三个季度的交易额为 17.9 亿美元。截至 8 月底,交易数量从 23 笔减少到 4 笔。

据科技网站 The Register 报道,AI 芯片初创公司 Mythic 总共筹集了约 1.6 亿美元资金,去年现金耗尽,几乎被迫停止运营。但几个月后的 3 月份,它成功筹集到了相对温和的 1300 万美元投资。Mythic 首席执行官戴夫·里克 (Dave Rick) 表示,英伟达「间接」加剧了整体 AI 芯片融资困境,因为投资者希望「投资巨额、回报丰厚的全垒打型投资」。里克表示,困难的经济状况加剧了周期性半导体行业的低迷。

寻求筹集现金的芯片初创公司面临着投资者更严格的要求。消息人士称,他们要求公司拥有一款在几个月内推出或已经产生销售的产品。大约两年前,芯片初创公司的新投资通常为 2 亿美元或 3 亿美元。PitchBook 分析师布伦丹·伯克 (Brendan Burke) 表示,这一数字已降至约 1 亿美元。

为了在 8 月份筹集 1 亿美元资金,Tenstorrent 夸耀了首席执行官吉姆·凯勒 (Jim Keller),他是一位近乎传奇的芯片架构师,曾为苹果、AMD 和特斯拉设计过芯片。

D-Matrix 预计今年的收入将低于 1000 万美元,但在微软的财务支持以及 Windows 制造商承诺在明年推出后测试 d-Matrix 的新型 AI 芯片的支持下,D-Matrix 筹集了 1.1 亿美元。

虽然英伟达影子下的这些芯片制造商处境艰难,但人工智能软件和相关技术领域的初创公司却没有面临同样的限制。根据 PitchBook 的数据,截至 8 月份,他们今年筹集了约 240 亿美元的资金。

]]>
//www.cghlg.com/article/202312/453792.htm Tue, 12 Dec 2023 10:13:33 +0800
<![CDATA[ 鸿海砸500亿,印度大扩产 ]]> 昨日晚间,鸿海发布公告,将向印度子公司投入近 500 亿元新台币,用于扩建厂房,这是鸿海近几年在印度罕见大手笔投资,外界推测,此举是为在印度进行 iPhone 新产品导入(NPI)预做准备。NPI 是指新产品上市前的设计、研发、试产。

鸿海代子公司 Foxconn Hon Hai Technology India Mega Development Private Limited 公告,以自地委建厂房,预计投资金额为印度卢比 1,282.09 亿元(约新台币 499.63 亿元)。

供应链人士表示,鸿海大手笔投资印度,说明在印度进行 iPhone 的 NPI 脚步已经不远了,苹果确定将扶植印度,成为全球另一个 iPhone 生产重镇。

天风证券分析师郭明錤之前表示,2023 年,印度生产的 iPhone 在全球占比将达 12~14%,估 2024 年比重会增至 20~25%。苹果越来越看重印度市场,计划 2024 下半年,在印度展开标准版 iPhone 17(2025 下半年发布)的 NPI,是首次在中国大陆以外的地区研发新款 iPhone,选择标准版 iPhone 设计开发难度较低,可以降低风险。

今年,印度生产的 iPhone 产品中,75~80% 为鸿海代工。印度经济时报(Economic Times)之前报道,鸿海位于印度泰米尔纳德邦的工厂,今年第 4 季生产 iPhone 15 Plus 机型,目前已经开始生产标准版 iPhone 15。

在 iPhone 14 之前,苹果只有一小部分手机在印度组装,出货落后中国大陆 6-9 个月,但今年 3 月底,苹果 iPhone 有 7% 在印度生产。外资原先预估,到 2025 年,印度制造的 iPhone 占比将达到 25%,郭明錤则预估明年就会达到 20~25%,苹果加快印度制造 iPhone 的步伐,降低美中科技战引发的地缘政治风险。

鸿海印度代表李嘉恩(V Lee)之前也表示,鸿海明年此时「将在印度的雇用、外人直接投资(FDI)和业务规模再增加一倍」,但没有提供更多相关细节。

其它产品方面,市场近期传出,鸿海集团旗下三家子公司将是印度 IT 产业生产相关激励计划第一批核准名单,鸿海集团也正加快印度制造与零组件的布局。

三大未来产业展望

2022 年,鸿海集团合并营收达 6.62 兆元新台币,全球员工总人数约 100 万人。昨天,台北荣总大师讲堂系列讲座,特别邀请鸿海集团董事长刘扬伟专题演讲,主题为「GenAI 浪潮下对未来的冲击与改变」。刘扬伟说,生成式人工智能(GenAI)十分重要,未来的生活将离不开它。鸿海 2020 年提出三大未来产业,包括电动车(EV)、数字健康及机器人,其中机器人,尤其是人形机器人研发速度会比大家想的更快。

刘扬伟指出,现在正值年底,预测鸿海明年营收达 6 兆多,其中受经济及汇兑的影响是最大的,但他要如何得知未来经济?以往,明年经济需由专家预测,但现在可以直接问 ChatGPT,答案八九不离十,且可询问许多不同细节,最后就把得到的资料,作为订定明年目标的重要依据,未来需要处理庞大信息量及复杂关系时,其实 AI 可以处理的非常好,像他现在每天都会用 ChatGPT 询问问题。

鸿海三大未来产业包括电动车、数字健康和机器人,三大核心技术为人工智能(AI)、半导体及未来通信。刘扬伟说,鸿海都有着墨,依市场发生顺序为电动车、机器人和数字健康,随着 GenAI 的发展,机器人发展会早于数字健康,尤其是人形机器人的发展会比大家想的来得早、来的快,未来可以想象家中有个人型机器人帮忙做任何事情。

数字健康可能受制于各国的法规,且各国风土民情不同,健康状况也有所不同,发展速度可能会慢于机器人。

就 GenAI 时代正在起飞,在用于总体产业层面的医疗与新药研发,刘扬伟表示,可以从片段的医学影像,生成完整的生物立体模型,以及预测可能的分子结构,更有效的开发候选药物等。

台北荣总院长陈威明说,北荣一年就医病人高达 200 多万人次,未来希望能利用 GenAI,提升医疗照顾服务,也能和鸿海有更多医疗方面的合作机会。

刘扬伟表示,演讲是为了分享,分享是进步的原动力,分享智慧成果,可避免浪费时间做同样的事,并善用众人力量去创造更多价值,企业申请专利也是以分享为目的,而不是把专利放在家里的橱柜、棉被里。

其次,做事要用科学方法,该方法很简单。「建议大家,不要拍脑袋做事「,刘扬伟说,现在许多主管都是拍脑袋做事,也就是用过去的经验做成决策,但要做的事是先搜集资料,针对问题、解决问题。如许多东方工程师在进行研发产品时,多是先做再说,产品做出来后,再思考有无侵犯其它专利; 西方工程师研发产品前,则是先搜集相关专利的数据,再研发出创新的产品,这两者是有所差异的。

]]>
昨日晚间,鸿海发布公告,将向印度子公司投入近 500 亿元新台币,用于扩建厂房,这是鸿海近几年在印度罕见大手笔投资,外界推测,此举是为在印度进行 iPhone 新产品导入(NPI)预做准备。NPI 是指新产品上市前的设计、研发、试产。

鸿海代子公司 Foxconn Hon Hai Technology India Mega Development Private Limited 公告,以自地委建厂房,预计投资金额为印度卢比 1,282.09 亿元(约新台币 499.63 亿元)。

供应链人士表示,鸿海大手笔投资印度,说明在印度进行 iPhone 的 NPI 脚步已经不远了,苹果确定将扶植印度,成为全球另一个 iPhone 生产重镇。

天风证券分析师郭明錤之前表示,2023 年,印度生产的 iPhone 在全球占比将达 12~14%,估 2024 年比重会增至 20~25%。苹果越来越看重印度市场,计划 2024 下半年,在印度展开标准版 iPhone 17(2025 下半年发布)的 NPI,是首次在中国大陆以外的地区研发新款 iPhone,选择标准版 iPhone 设计开发难度较低,可以降低风险。

今年,印度生产的 iPhone 产品中,75~80% 为鸿海代工。印度经济时报(Economic Times)之前报道,鸿海位于印度泰米尔纳德邦的工厂,今年第 4 季生产 iPhone 15 Plus 机型,目前已经开始生产标准版 iPhone 15。

在 iPhone 14 之前,苹果只有一小部分手机在印度组装,出货落后中国大陆 6-9 个月,但今年 3 月底,苹果 iPhone 有 7% 在印度生产。外资原先预估,到 2025 年,印度制造的 iPhone 占比将达到 25%,郭明錤则预估明年就会达到 20~25%,苹果加快印度制造 iPhone 的步伐,降低美中科技战引发的地缘政治风险。

鸿海印度代表李嘉恩(V Lee)之前也表示,鸿海明年此时「将在印度的雇用、外人直接投资(FDI)和业务规模再增加一倍」,但没有提供更多相关细节。

其它产品方面,市场近期传出,鸿海集团旗下三家子公司将是印度 IT 产业生产相关激励计划第一批核准名单,鸿海集团也正加快印度制造与零组件的布局。

三大未来产业展望

2022 年,鸿海集团合并营收达 6.62 兆元新台币,全球员工总人数约 100 万人。昨天,台北荣总大师讲堂系列讲座,特别邀请鸿海集团董事长刘扬伟专题演讲,主题为「GenAI 浪潮下对未来的冲击与改变」。刘扬伟说,生成式人工智能(GenAI)十分重要,未来的生活将离不开它。鸿海 2020 年提出三大未来产业,包括电动车(EV)、数字健康及机器人,其中机器人,尤其是人形机器人研发速度会比大家想的更快。

刘扬伟指出,现在正值年底,预测鸿海明年营收达 6 兆多,其中受经济及汇兑的影响是最大的,但他要如何得知未来经济?以往,明年经济需由专家预测,但现在可以直接问 ChatGPT,答案八九不离十,且可询问许多不同细节,最后就把得到的资料,作为订定明年目标的重要依据,未来需要处理庞大信息量及复杂关系时,其实 AI 可以处理的非常好,像他现在每天都会用 ChatGPT 询问问题。

鸿海三大未来产业包括电动车、数字健康和机器人,三大核心技术为人工智能(AI)、半导体及未来通信。刘扬伟说,鸿海都有着墨,依市场发生顺序为电动车、机器人和数字健康,随着 GenAI 的发展,机器人发展会早于数字健康,尤其是人形机器人的发展会比大家想的来得早、来的快,未来可以想象家中有个人型机器人帮忙做任何事情。

数字健康可能受制于各国的法规,且各国风土民情不同,健康状况也有所不同,发展速度可能会慢于机器人。

就 GenAI 时代正在起飞,在用于总体产业层面的医疗与新药研发,刘扬伟表示,可以从片段的医学影像,生成完整的生物立体模型,以及预测可能的分子结构,更有效的开发候选药物等。

台北荣总院长陈威明说,北荣一年就医病人高达 200 多万人次,未来希望能利用 GenAI,提升医疗照顾服务,也能和鸿海有更多医疗方面的合作机会。

刘扬伟表示,演讲是为了分享,分享是进步的原动力,分享智慧成果,可避免浪费时间做同样的事,并善用众人力量去创造更多价值,企业申请专利也是以分享为目的,而不是把专利放在家里的橱柜、棉被里。

其次,做事要用科学方法,该方法很简单。「建议大家,不要拍脑袋做事「,刘扬伟说,现在许多主管都是拍脑袋做事,也就是用过去的经验做成决策,但要做的事是先搜集资料,针对问题、解决问题。如许多东方工程师在进行研发产品时,多是先做再说,产品做出来后,再思考有无侵犯其它专利; 西方工程师研发产品前,则是先搜集相关专利的数据,再研发出创新的产品,这两者是有所差异的。

]]>
//www.cghlg.com/article/202312/453791.htm Tue, 12 Dec 2023 10:09:43 +0800
<![CDATA[ 台积电成熟制程降价 ]]> 近期,IC 设计业陆续传出消息,晶圆代工龙头台积电在相隔三年后,明年将针对部分成熟制程,给予小幅度价格折扣。

台积电身为晶圆代工龙头,报价稳定,很少涨跌价,针对客户通常提出个位数百分比的年度折扣,对于目前传出台积电提供部分成熟制程价格折扣幅度,IC 设计厂商指出,约在 2% 左右。针对价格折扣相关议题,台积电不予评论。

数家 IC 设计厂商表示,确实正与台积电洽谈明年的价格折扣。有 IC 设计厂商透露,台积电提供的折扣方式,是当一整季的投片完成后结算,依此从下一季开的光罩费用来进行折抵,所以,明年第 2、3、4 季都可以依照投片量获得低个位数百分比的折扣。

IC 设计厂商认为,其它晶圆代工厂早已随着半导体市况反转,陆续启动大笔订单直接降价、达一定下单量后多送免费投片额度等措施,试图提高产能利用率,陆厂降价比台厂更早、更积极,台积电的报价相对硬。如今,传出台积电部分成熟制程恢复折扣,即使并非直接降价,仍具指标性意义,对其它同业的成熟制程,未等到明年下半旺季来临之前,将增添报价压力。

前几年,IC 爆发大缺货,台积电起先并没有涨价,其它同业报价明显上扬,相对使得其报价反而较低,甚至是最低。台积电于 2021、2022 年持续传出取消折扣,2023 年初更启动睽违多年的罕见涨价,外传幅度约 3%-6% 不等。

半导体景气反转,供应链从 2022 下半年开始陆续进行库存调整,2023 上半年传出台积电变通提出加量回馈方案,只要客户下单达一定数量,就会多送成熟制程投片量。

台积电以先进制程为营收主力,占其营收比重超过五成,成熟制程并非其重点,但仍是市场注意的焦点之一。台积电今年第 3 季先进制程,包含 7nm 及更先进制程营收达全季晶圆销售总额的 59%。

其它厂商早就开始降价了

晶圆代工成熟制程厂商面临产能利用率六成保卫战,联电、世界先进和力积电等指标厂为抢救产能利用率,大砍明年首季报价,幅度达两位数百分比,项目客户降幅更高达 15%-20%。

这一轮报价修正,导致晶圆代工成熟制程价格下探疫后新低点,牵动相关厂商毛利率与获利走势。

有 IC 设计厂商透露,晶圆代工厂告知,成熟制程生意不好,产能利用率直直落,为了确保市占率,维持一定的生产经济规模,报价大刀一挥是不得不采取的动作。

业界指出,即便近期 PC、手机市场出现回暖迹象,客户端考量通膨等外在变因仍大,尤其过去一年几乎都在清库存,厂商惊魂未定,深怕再度陷入去库存泥淖,因此,当下投片策略依旧保守,目前,仅恢复疫情前下单量约三、四成,逼得晶圆代工厂急了,因而加大砍价力道,避免订单流失至愿意降价的竞争者手中,导致产能利用率更差。

据了解,消费类客户投片需求低,专攻 8 英寸晶圆代工成熟制程的厂商受创最深,主因 IDM 及 IC 设计厂先前大量重复下单,导致电源管理 IC、驱动 IC 及微控制器(MCU)等库存水位仍有待去化,且部分产品已经转投 12 英寸,让 8 英寸晶圆代工厂产能利用率近期一直维持在低水位。

联电方面,该公司预期本季产能利用率恐由上季的 67% 降为 60%-63%,为近年单季低点; 受产能利用率持续修正影响,毛利率将由上季的 35.9% 下滑到 31%-33%,退回 2021 年疫情爆发初期水平。

对此于价格议题,联电回应,如日前法说会所言,8 英寸确实会有明显降幅,12 英寸则没有调整。供应链透露,联电为巩固客户下单动能,本季传出已先祭出对大客户价格折扣 5%,考量明年首季需求续淡,为吸引客户加大投片量,将扩大报价降幅至两位数百分比。

世界先进方面,供应链透露下半年报价降幅可望达 5%,投片量大的客户甚至有望拿到 10% 的折扣空间,明年首季再降个位数至两位数百分比。世界先进高层先前在法说会上已提到,因应价格竞争严峻,短期会弹性调整。

力积电同样受客户投片保守影响,第 3 季亏损,产能利用率仅在 60% 上下,据悉,力积电也将祭出降价措施以提升产能利用率。

IC 设计厂商毛利触底反弹

半导体上游市况反转,转变为买方市场,IC 设计厂商等得云开见月明。IC 设计业面临毛利率滑坡,2023 年终见止稳,且历经三季打底,已见起色机会。

有厂商强调,目前市场共识为 L 型景气循环,意味最坏状况已经看到,但需求何时出现尚不得而知,但因库存进入低水位与晶圆投片成本下降推估,很可能需求未完全显现,厂商毛利率就先展现翻扬回升的成绩。

截至第三季,中国台湾 IC 设计族群存货已自高峰下滑 2-5 成不等,存货走向健康; 晶圆代工也来好消息,原本销货折扣(sales rebate)之外,价格松动亦得到喘息空间。不少厂商开始采取多元化投片策略,提高陆系晶圆代工比重,法人预期,明年上半年起,应可见 IC 设计厂商毛利率开始回升。

在终端需求部分,台积电率先表示,PC 与手机已见落底迹象,IC 设计族群遂依应用触底,回补库存潮开始涌现。至此,库存调整与存货跌损损失两大负面因素将止于 2023 年,也将预示着 2024 年毛利率开始显著回升。

库存调整积极的公司,已于今年第二季起,陆续见到毛利率低点。其中义隆电一马当先,去年第四季已先触底,回头看去年底终止 3 年长约之决定,令市场钦佩老牌 IC 设计厂之决断与对景气的前瞻。另外,分别代表 DDIC、网通芯片之指标厂,联咏、瑞昱也分别挥别第二季毛利率谷底,第三季开始回温。

]]>
近期,IC 设计业陆续传出消息,晶圆代工龙头台积电在相隔三年后,明年将针对部分成熟制程,给予小幅度价格折扣。

台积电身为晶圆代工龙头,报价稳定,很少涨跌价,针对客户通常提出个位数百分比的年度折扣,对于目前传出台积电提供部分成熟制程价格折扣幅度,IC 设计厂商指出,约在 2% 左右。针对价格折扣相关议题,台积电不予评论。

数家 IC 设计厂商表示,确实正与台积电洽谈明年的价格折扣。有 IC 设计厂商透露,台积电提供的折扣方式,是当一整季的投片完成后结算,依此从下一季开的光罩费用来进行折抵,所以,明年第 2、3、4 季都可以依照投片量获得低个位数百分比的折扣。

IC 设计厂商认为,其它晶圆代工厂早已随着半导体市况反转,陆续启动大笔订单直接降价、达一定下单量后多送免费投片额度等措施,试图提高产能利用率,陆厂降价比台厂更早、更积极,台积电的报价相对硬。如今,传出台积电部分成熟制程恢复折扣,即使并非直接降价,仍具指标性意义,对其它同业的成熟制程,未等到明年下半旺季来临之前,将增添报价压力。

前几年,IC 爆发大缺货,台积电起先并没有涨价,其它同业报价明显上扬,相对使得其报价反而较低,甚至是最低。台积电于 2021、2022 年持续传出取消折扣,2023 年初更启动睽违多年的罕见涨价,外传幅度约 3%-6% 不等。

半导体景气反转,供应链从 2022 下半年开始陆续进行库存调整,2023 上半年传出台积电变通提出加量回馈方案,只要客户下单达一定数量,就会多送成熟制程投片量。

台积电以先进制程为营收主力,占其营收比重超过五成,成熟制程并非其重点,但仍是市场注意的焦点之一。台积电今年第 3 季先进制程,包含 7nm 及更先进制程营收达全季晶圆销售总额的 59%。

其它厂商早就开始降价了

晶圆代工成熟制程厂商面临产能利用率六成保卫战,联电、世界先进和力积电等指标厂为抢救产能利用率,大砍明年首季报价,幅度达两位数百分比,项目客户降幅更高达 15%-20%。

这一轮报价修正,导致晶圆代工成熟制程价格下探疫后新低点,牵动相关厂商毛利率与获利走势。

有 IC 设计厂商透露,晶圆代工厂告知,成熟制程生意不好,产能利用率直直落,为了确保市占率,维持一定的生产经济规模,报价大刀一挥是不得不采取的动作。

业界指出,即便近期 PC、手机市场出现回暖迹象,客户端考量通膨等外在变因仍大,尤其过去一年几乎都在清库存,厂商惊魂未定,深怕再度陷入去库存泥淖,因此,当下投片策略依旧保守,目前,仅恢复疫情前下单量约三、四成,逼得晶圆代工厂急了,因而加大砍价力道,避免订单流失至愿意降价的竞争者手中,导致产能利用率更差。

据了解,消费类客户投片需求低,专攻 8 英寸晶圆代工成熟制程的厂商受创最深,主因 IDM 及 IC 设计厂先前大量重复下单,导致电源管理 IC、驱动 IC 及微控制器(MCU)等库存水位仍有待去化,且部分产品已经转投 12 英寸,让 8 英寸晶圆代工厂产能利用率近期一直维持在低水位。

联电方面,该公司预期本季产能利用率恐由上季的 67% 降为 60%-63%,为近年单季低点; 受产能利用率持续修正影响,毛利率将由上季的 35.9% 下滑到 31%-33%,退回 2021 年疫情爆发初期水平。

对此于价格议题,联电回应,如日前法说会所言,8 英寸确实会有明显降幅,12 英寸则没有调整。供应链透露,联电为巩固客户下单动能,本季传出已先祭出对大客户价格折扣 5%,考量明年首季需求续淡,为吸引客户加大投片量,将扩大报价降幅至两位数百分比。

世界先进方面,供应链透露下半年报价降幅可望达 5%,投片量大的客户甚至有望拿到 10% 的折扣空间,明年首季再降个位数至两位数百分比。世界先进高层先前在法说会上已提到,因应价格竞争严峻,短期会弹性调整。

力积电同样受客户投片保守影响,第 3 季亏损,产能利用率仅在 60% 上下,据悉,力积电也将祭出降价措施以提升产能利用率。

IC 设计厂商毛利触底反弹

半导体上游市况反转,转变为买方市场,IC 设计厂商等得云开见月明。IC 设计业面临毛利率滑坡,2023 年终见止稳,且历经三季打底,已见起色机会。

有厂商强调,目前市场共识为 L 型景气循环,意味最坏状况已经看到,但需求何时出现尚不得而知,但因库存进入低水位与晶圆投片成本下降推估,很可能需求未完全显现,厂商毛利率就先展现翻扬回升的成绩。

截至第三季,中国台湾 IC 设计族群存货已自高峰下滑 2-5 成不等,存货走向健康; 晶圆代工也来好消息,原本销货折扣(sales rebate)之外,价格松动亦得到喘息空间。不少厂商开始采取多元化投片策略,提高陆系晶圆代工比重,法人预期,明年上半年起,应可见 IC 设计厂商毛利率开始回升。

在终端需求部分,台积电率先表示,PC 与手机已见落底迹象,IC 设计族群遂依应用触底,回补库存潮开始涌现。至此,库存调整与存货跌损损失两大负面因素将止于 2023 年,也将预示着 2024 年毛利率开始显著回升。

库存调整积极的公司,已于今年第二季起,陆续见到毛利率低点。其中义隆电一马当先,去年第四季已先触底,回头看去年底终止 3 年长约之决定,令市场钦佩老牌 IC 设计厂之决断与对景气的前瞻。另外,分别代表 DDIC、网通芯片之指标厂,联咏、瑞昱也分别挥别第二季毛利率谷底,第三季开始回温。

]]>
//www.cghlg.com/article/202312/453790.htm Tue, 12 Dec 2023 10:07:48 +0800
<![CDATA[ 明年迎Wi-Fi 7起飞元年 ]]> Wi-Fi 7 是下一代无线标准,将取代 Wi-Fi 6E。尽管 Wi-Fi 7 仍处于规范草案阶段,尚未获得 Wi-Fi 联盟的正式认证,但市场上已有一些主要厂商推出了一些 Wi-Fi 7 路由器。

无线宽带联盟 (WBA) 在其 2023 年年度行业报告中发现,53% 的服务提供商、技术供应商和企业已经部署了 Wi-Fi 6,另有 44% 而另外 44% 的受访者表示,他们目前正在努力在未来 12—18 个月内采用 Wi-Fi 6E。此外,33% 的受访者确认,他们已经计划在 2024 年底部署 Wi-Fi 7。

随着 Wi-Fi 7 技术问世,IC 设计企业也有望将储备多时的技术转换成营收。博通、高通、英特尔和 NXP 已提供(或即将发货)Wi-Fi 7 芯片组。例如,高通提供 FastConnect 7800,它提供 Wi-Fi 7 支持(以及对 Wi-Fi 6E 和旧标准的传统支持)以及蓝牙 5.3 支持。

一些智能手机,如索尼 Xperia 1 V、摩托罗拉 Edge+ 和华硕 ROG Phone 7 Ultimate(均配备高通骁龙 8 Gen 2 SoC)配备 FastConnect 7800。但是,虽然这些设备具有支持 Wi-Fi 7 的板载硬件,但它们将需要驱动程序、固件、软件更新才能在以后完全启用它。

至于笔记本电脑,英特尔无线解决方案集团副总裁埃里克·麦克劳林 (Eric McLaughlin) 在 8 月份表示,「我们目前正在开发英特尔的 Wi-Fi『802.11be』,以获得『Wi-Fi 联盟』认证,并将安装在笔记本电脑上。到 2024 年,笔记本电脑等 PC 产品将出现。我们预计它将在 2025 年出现在主要市场。」

英特尔预计,通过将笔记本电脑从 Wi-Fi 6E 迁移到 Wi-Fi 7,吞吐量将提高 20%。「典型 Wi-Fi 7 笔记本电脑的好处是潜在的最大数据速率接近 5.8 Gbps,这比 Wi-Fi 6/6E 的 2.4 Gbps 快 2.4 倍,可以轻松实现高质量 8K 视频流或将大量 15 GB 文件下载时间缩短至大约 25 秒,而最好的下载速度只需一分钟传统的 Wi-Fi 技术。」

然而,已经有几款笔记本电脑宣布支持使用非英特尔芯片组的 Wi-Fi 7。其中一些笔记本电脑包括 MSI Alpha 17 C7V、Acer Swift Edge 16 以及配备第 13 代英特尔酷睿处理器的联想 Legion Slim 7i 和 Legion Slim 7。

华硕北美高级技术营销经理 Guerrero 指出,华硕已经开始发货上述支持 Wi-Fi 7 的 ROG Phone 7,其板载 Wi-Fi 7 的主板将很快发货。

此次 Wi-Fi 7 技术不再由美系厂商主导,而是呈现百家争鸣,除了既有的博通、高通外,台厂联发科、瑞昱也都已进入第一梯队,并导入客户新产品,并在明年贡献营收,周边芯片企业来颉、检测厂耕兴也可望收益 Wi-Fi 7 商机。

联发科已针对企业端、消费端推出 Wi-Fi 7 产品,目前已导入高端路由器、高端笔电与宽频设备,预期最快明年中就会导入量产,且在明年苹果、三星陆续采用新技术下,手机也将会成为 Wi-Fi 7 重要成长动能之一。

瑞昱 Wi-Fi 7 产品也同样获客户采用,看好 Wi-Fi 7 量产首年渗透率就有机会达到 5%,同时为周边交换器带来迭代新需求。

来颉主要深耕网通电源管理芯片的参考设计,此次在百家争鸣时代,已针对四大平台配合开发相关产品,尤其 Wi-Fi 7 PMIC 用量会增加至 20 几颗,相较先前 10 几颗显著成长,明年下半年导入量产。

耕兴也提供客户新产品检测服务,且由于 Wi-Fi 7 检测项目更多,测试时间拉长、收费也更高,增幅高达 2 倍,目前已持续投入资本支出满足客户订单,可望进一步挹注明年营运。

什么是 Wi-Fi 7?

Wi-Fi 7,正式名称为 802.11be,建立在 Wi-Fi 6E 奠定的基础上。这意味着它支持 2.4 GHz、5 GHz 和 6 GHz 无线频段。然而,Wi-Fi 联盟确保 Wi-Fi 7 与 Wi-Fi 6E 相比将提供更高的传输速度、减少延迟并提高整体网络容量。

为了实现这些目标,最大信道带宽从 160 MHz 增加了一倍到 320 MHz,而 MU-MIMO 空间流的最大数量也从 8 个增加到 16 个。正交幅度调制 (QAM) 是一种组织和压缩更多数据的方法转化为无线电信号。Wi-Fi 6 和 6E 实施 1024 QAM,而 Wi-Fi 7 则跳转至 4098 (4K) QAM。据 TP-Link 称,从 1024 QAM 迁移到 4K QAM 可使理论数据速率提高 20%。凭借更高的数据速率和频谱效率,Wi-Fi 7 路由器可以可靠地为大量无线客户端提供服务。

Wi-Fi 7 还支持多链路操作 (MLO),允许路由器同时使用多个无线频段和通道连接到 Wi-Fi 7 客户端。例如,Wi-Fi 7 客户端可以使用结合了 2.4 GHz、5 GHz 和 6 GHz 频段的单个聚合链路连接到 Wi-Fi 7 路由器。这种方法具有许多优点,包括降低延迟、提高数据速率、改善频带负载平衡和提高网络可靠性。

总之,Wi-Fi 7 在多个方面都是对 Wi-Fi 6E 的重大升级。可以享受更高的吞吐量、更低的延迟、更大的容量和更快的回程速度(对于网状系统)。此外,许多路由器制造商正在通过硬件级产品(例如更快的多核 SoC 和 10 Gbps LAN/WAN 端口等升级)来补充这些 Wi-Fi 7 增强功能。

然而,这些升级的成本很高,最便宜的 Wi-Fi 7 路由器售价为 600 美元,有些甚至达到 1,700 美元甚至更高。按照这样的价格,这些路由器的早期购买者将主要来自富有的爱好者,他们想要尽可能高的性能,并希望在 Wi-Fi 7 客户端开始涌入市场的第一天就做好准备。

]]>
Wi-Fi 7 是下一代无线标准,将取代 Wi-Fi 6E。尽管 Wi-Fi 7 仍处于规范草案阶段,尚未获得 Wi-Fi 联盟的正式认证,但市场上已有一些主要厂商推出了一些 Wi-Fi 7 路由器。

无线宽带联盟 (WBA) 在其 2023 年年度行业报告中发现,53% 的服务提供商、技术供应商和企业已经部署了 Wi-Fi 6,另有 44% 而另外 44% 的受访者表示,他们目前正在努力在未来 12—18 个月内采用 Wi-Fi 6E。此外,33% 的受访者确认,他们已经计划在 2024 年底部署 Wi-Fi 7。

随着 Wi-Fi 7 技术问世,IC 设计企业也有望将储备多时的技术转换成营收。博通、高通、英特尔和 NXP 已提供(或即将发货)Wi-Fi 7 芯片组。例如,高通提供 FastConnect 7800,它提供 Wi-Fi 7 支持(以及对 Wi-Fi 6E 和旧标准的传统支持)以及蓝牙 5.3 支持。

一些智能手机,如索尼 Xperia 1 V、摩托罗拉 Edge+ 和华硕 ROG Phone 7 Ultimate(均配备高通骁龙 8 Gen 2 SoC)配备 FastConnect 7800。但是,虽然这些设备具有支持 Wi-Fi 7 的板载硬件,但它们将需要驱动程序、固件、软件更新才能在以后完全启用它。

至于笔记本电脑,英特尔无线解决方案集团副总裁埃里克·麦克劳林 (Eric McLaughlin) 在 8 月份表示,「我们目前正在开发英特尔的 Wi-Fi『802.11be』,以获得『Wi-Fi 联盟』认证,并将安装在笔记本电脑上。到 2024 年,笔记本电脑等 PC 产品将出现。我们预计它将在 2025 年出现在主要市场。」

英特尔预计,通过将笔记本电脑从 Wi-Fi 6E 迁移到 Wi-Fi 7,吞吐量将提高 20%。「典型 Wi-Fi 7 笔记本电脑的好处是潜在的最大数据速率接近 5.8 Gbps,这比 Wi-Fi 6/6E 的 2.4 Gbps 快 2.4 倍,可以轻松实现高质量 8K 视频流或将大量 15 GB 文件下载时间缩短至大约 25 秒,而最好的下载速度只需一分钟传统的 Wi-Fi 技术。」

然而,已经有几款笔记本电脑宣布支持使用非英特尔芯片组的 Wi-Fi 7。其中一些笔记本电脑包括 MSI Alpha 17 C7V、Acer Swift Edge 16 以及配备第 13 代英特尔酷睿处理器的联想 Legion Slim 7i 和 Legion Slim 7。

华硕北美高级技术营销经理 Guerrero 指出,华硕已经开始发货上述支持 Wi-Fi 7 的 ROG Phone 7,其板载 Wi-Fi 7 的主板将很快发货。

此次 Wi-Fi 7 技术不再由美系厂商主导,而是呈现百家争鸣,除了既有的博通、高通外,台厂联发科、瑞昱也都已进入第一梯队,并导入客户新产品,并在明年贡献营收,周边芯片企业来颉、检测厂耕兴也可望收益 Wi-Fi 7 商机。

联发科已针对企业端、消费端推出 Wi-Fi 7 产品,目前已导入高端路由器、高端笔电与宽频设备,预期最快明年中就会导入量产,且在明年苹果、三星陆续采用新技术下,手机也将会成为 Wi-Fi 7 重要成长动能之一。

瑞昱 Wi-Fi 7 产品也同样获客户采用,看好 Wi-Fi 7 量产首年渗透率就有机会达到 5%,同时为周边交换器带来迭代新需求。

来颉主要深耕网通电源管理芯片的参考设计,此次在百家争鸣时代,已针对四大平台配合开发相关产品,尤其 Wi-Fi 7 PMIC 用量会增加至 20 几颗,相较先前 10 几颗显著成长,明年下半年导入量产。

耕兴也提供客户新产品检测服务,且由于 Wi-Fi 7 检测项目更多,测试时间拉长、收费也更高,增幅高达 2 倍,目前已持续投入资本支出满足客户订单,可望进一步挹注明年营运。

什么是 Wi-Fi 7?

Wi-Fi 7,正式名称为 802.11be,建立在 Wi-Fi 6E 奠定的基础上。这意味着它支持 2.4 GHz、5 GHz 和 6 GHz 无线频段。然而,Wi-Fi 联盟确保 Wi-Fi 7 与 Wi-Fi 6E 相比将提供更高的传输速度、减少延迟并提高整体网络容量。

为了实现这些目标,最大信道带宽从 160 MHz 增加了一倍到 320 MHz,而 MU-MIMO 空间流的最大数量也从 8 个增加到 16 个。正交幅度调制 (QAM) 是一种组织和压缩更多数据的方法转化为无线电信号。Wi-Fi 6 和 6E 实施 1024 QAM,而 Wi-Fi 7 则跳转至 4098 (4K) QAM。据 TP-Link 称,从 1024 QAM 迁移到 4K QAM 可使理论数据速率提高 20%。凭借更高的数据速率和频谱效率,Wi-Fi 7 路由器可以可靠地为大量无线客户端提供服务。

Wi-Fi 7 还支持多链路操作 (MLO),允许路由器同时使用多个无线频段和通道连接到 Wi-Fi 7 客户端。例如,Wi-Fi 7 客户端可以使用结合了 2.4 GHz、5 GHz 和 6 GHz 频段的单个聚合链路连接到 Wi-Fi 7 路由器。这种方法具有许多优点,包括降低延迟、提高数据速率、改善频带负载平衡和提高网络可靠性。

总之,Wi-Fi 7 在多个方面都是对 Wi-Fi 6E 的重大升级。可以享受更高的吞吐量、更低的延迟、更大的容量和更快的回程速度(对于网状系统)。此外,许多路由器制造商正在通过硬件级产品(例如更快的多核 SoC 和 10 Gbps LAN/WAN 端口等升级)来补充这些 Wi-Fi 7 增强功能。

然而,这些升级的成本很高,最便宜的 Wi-Fi 7 路由器售价为 600 美元,有些甚至达到 1,700 美元甚至更高。按照这样的价格,这些路由器的早期购买者将主要来自富有的爱好者,他们想要尽可能高的性能,并希望在 Wi-Fi 7 客户端开始涌入市场的第一天就做好准备。

]]>
//www.cghlg.com/article/202312/453789.htm Tue, 12 Dec 2023 10:06:24 +0800
<![CDATA[ 台积电 CoWoS 月产能 2024Q1 要达 4 万片,三大因素将缓解先进封装产能吃紧情况 ]]> IT之家 12 月 12 日消息,根据集邦咨询最新报告,受到三星加入竞争、台积电提高产能,以及部分 CSP 更改设计这三大因素影响,将极大缓解先进封装产能供应紧张情况。

业界人士认为,当前全球 AI 芯片产能吃紧,其中最主要的原因是先进封装产能不足。而由于三大因素,先进封装产能荒的情况有望提前结束。

三星加入竞争

在美光和 SK 海力士之外,三星正计划推进 HBM 技术。三星公司通过加强和台积电的合作,兼容 CoWoS 工艺,扩大 HBM3 产品的销售。

三星于 2022 年加入台积电 OIP 3DFabric 联盟,将扩大其工作范围,为未来几代 HBM 提供解决方案。

台积电提高产能

台积电与 OSAT(Outsourcing Semiconductor Assembly And Test)的持续合作正在加速 WoS 产能扩张。

英伟达在最近的一次财经电话会议上证实,它已经认证了其他 CoWoS 先进封装供应商的能力作为备援。

业界推测,认证其他 CoWoS 先进封装供应商的部分前段与后段产能,有助台积电与伙伴明年第 1 季度 CoWoS 月产能达到约 4 万片的目标。

部分 CSP 更改设计

业内人士指出,早期 AI 芯片短缺主要源于三个因素:先进封装能力不足、HBM3 内存容量紧张、部分 CSP 反复下单。现在,与这些因素相关的障碍正在逐渐被克服。

IT之家从报道中获悉,除了台积电和三星承诺提高先进封装产能外,CSP 还在调整设计,减少先进封装的使用,并取消之前的重复订单 —— 所有这些都是关键因素。


]]>
IT之家 12 月 12 日消息,根据集邦咨询最新报告,受到三星加入竞争、台积电提高产能,以及部分 CSP 更改设计这三大因素影响,将极大缓解先进封装产能供应紧张情况。

业界人士认为,当前全球 AI 芯片产能吃紧,其中最主要的原因是先进封装产能不足。而由于三大因素,先进封装产能荒的情况有望提前结束。

三星加入竞争

在美光和 SK 海力士之外,三星正计划推进 HBM 技术。三星公司通过加强和台积电的合作,兼容 CoWoS 工艺,扩大 HBM3 产品的销售。

三星于 2022 年加入台积电 OIP 3DFabric 联盟,将扩大其工作范围,为未来几代 HBM 提供解决方案。

台积电提高产能

台积电与 OSAT(Outsourcing Semiconductor Assembly And Test)的持续合作正在加速 WoS 产能扩张。

英伟达在最近的一次财经电话会议上证实,它已经认证了其他 CoWoS 先进封装供应商的能力作为备援。

业界推测,认证其他 CoWoS 先进封装供应商的部分前段与后段产能,有助台积电与伙伴明年第 1 季度 CoWoS 月产能达到约 4 万片的目标。

部分 CSP 更改设计

业内人士指出,早期 AI 芯片短缺主要源于三个因素:先进封装能力不足、HBM3 内存容量紧张、部分 CSP 反复下单。现在,与这些因素相关的障碍正在逐渐被克服。

IT之家从报道中获悉,除了台积电和三星承诺提高先进封装产能外,CSP 还在调整设计,减少先进封装的使用,并取消之前的重复订单 —— 所有这些都是关键因素。


]]>
//www.cghlg.com/article/202312/453788.htm Tue, 12 Dec 2023 09:45:13 +0800
<![CDATA[ MIKROE推出世界上最大的嵌入式项目平台EmbeddedWiki ]]> 2023年12月11日:作为一家通过提供基于成熟标准的创新式硬软件产品来大幅缩短开发时间的嵌入式解决方案公司,MikroElektronika(MIKROE)今天推出世界上最大的嵌入式项目平台—EmbeddedWiki。该平台通过MIKROE 的1500多款 Click 板提供超过1百万个设计,并涵盖12个主题和92个应用程序。每个设计都包含项目的完整描述,以及所需的器件列表。用户在选择MCU后,将收到经100%验证的工作代码。

图片.png

MIKROE首席执行官Nebojsa Matic表示:“设计师在互联网上搜索到的代码不一定经过100%的验证,即使花大量的时间检查也不一定保证完全正确,亦或根本没用。经过我们4年开发的EmbeddedWiki嵌入式项目平台是一个可以提供超过1百万个设计项目的资源库,每个项目都提供了工程师进行设计所需的所有信息。”

今天正值MIKROE成立纪念日,EmbeddedWiki的推出具有独特的纪念意义。EmbeddedWiki嵌入式项目平台涵盖的主题包括:音频和语音、时钟和计时、显示器和LED、HMI、接口、混合信号、电机控制、电源管理、传感器、存储以及无线连接。每个顶层分类下都有子类别,以帮助设计师在上万或十几万的设计项目中进行筛选。项目筛选功能可引导用户选择各种MCU、Click 板和开发板。

EmbeddedWiki由MIKROE的人工智能提供支持,新项目一直在自动添加。目前,嵌入式项目总数为1百万318个,所有项目都有完整的工作代码。

首席执行官Nebojsa Matic总结道:“22年来,MIKROE一直致力于通过在嵌入式行业使用标准化来节省时间。无论你是第一次作项目的新手,还是经验丰富的专业人士,EmbeddedWiki都能确保项目圆满完成,避免浪费时间。”


]]>
2023年12月11日:作为一家通过提供基于成熟标准的创新式硬软件产品来大幅缩短开发时间的嵌入式解决方案公司,MikroElektronika(MIKROE)今天推出世界上最大的嵌入式项目平台—EmbeddedWiki。该平台通过MIKROE 的1500多款 Click 板提供超过1百万个设计,并涵盖12个主题和92个应用程序。每个设计都包含项目的完整描述,以及所需的器件列表。用户在选择MCU后,将收到经100%验证的工作代码。

图片.png

MIKROE首席执行官Nebojsa Matic表示:“设计师在互联网上搜索到的代码不一定经过100%的验证,即使花大量的时间检查也不一定保证完全正确,亦或根本没用。经过我们4年开发的EmbeddedWiki嵌入式项目平台是一个可以提供超过1百万个设计项目的资源库,每个项目都提供了工程师进行设计所需的所有信息。”

今天正值MIKROE成立纪念日,EmbeddedWiki的推出具有独特的纪念意义。EmbeddedWiki嵌入式项目平台涵盖的主题包括:音频和语音、时钟和计时、显示器和LED、HMI、接口、混合信号、电机控制、电源管理、传感器、存储以及无线连接。每个顶层分类下都有子类别,以帮助设计师在上万或十几万的设计项目中进行筛选。项目筛选功能可引导用户选择各种MCU、Click 板和开发板。

EmbeddedWiki由MIKROE的人工智能提供支持,新项目一直在自动添加。目前,嵌入式项目总数为1百万318个,所有项目都有完整的工作代码。

首席执行官Nebojsa Matic总结道:“22年来,MIKROE一直致力于通过在嵌入式行业使用标准化来节省时间。无论你是第一次作项目的新手,还是经验丰富的专业人士,EmbeddedWiki都能确保项目圆满完成,避免浪费时间。”


]]>
//www.cghlg.com/article/202312/453787.htm Tue, 12 Dec 2023 09:06:34 +0800
<![CDATA[ Pure Storage以企业级人工智能计划推动全球客户取得多项突破 ]]> 专为多云环境提供先进数据存储技术及服务的全球 IT 先锋Pure Storage® (NYSE: PSTG) 今日宣布进一步增强其产品组合,支持人工智能(AI)应用案例,在全球AI布局中继续保持强盛的客户增长势头。作为首批获得NVIDIA DGX BasePOD认证的企业数据存储供应商之一,Pure Storage目前与思科共同推出了面向AI的全新FlashStack解决方案,并已通过思科的验证。Pure Storage将继续为企业带来高效可靠的数据访问产品,支持新的AI应用程序,为实现现代化、可扩展的业务成果奠定坚实基础。

趋势亮点:

Pure Storage具备市场上最全面的数据存储平台,无论企业处于采用人工智能的哪个阶段,Pure Storage能在AI工作流程的各个环节中(从数据监管到模型训练和推算)提供至优的效率和性能。Pure Storage始终致力于支持全球领先的人工智能计划,赋能客户启动各种规模的人工智能项目,提供高度可扩展的数据存储基础,充分满足多变的需求。Pure Storage产品组合的重大进步包括:

●   AIRI®:Pure Storage始终行走在优化AI存储领域的前沿。2017年Pure Storage推出FlashBlade® 系列,2018年Pure Storage又与NVIDIA联袂开发了AI就绪型基础设施参考架构AIRI®。如今,Pure Storage成为首批通过NVIDIA DGX BasePOD认证的企业数据存储供应商之一。基于NVIDIA DGX BasePOD AI参考架构构建,同时充分利用最新的FlashBlade//S™存储平台,AIRI®可简化并加快人工智能的规模化应用,让企业更专注于获取价值洞察,无需在IT管理上耗费太多时间。

●   适用于AI的FlashStack®:凭借适用于AI领域且具备全新配套验证设计的FlashStack解决方案,Pure Storage和思科助力IT团队驾驭新的AI应用程序堆栈和数据管道,避免形成基础设施和运营孤岛。自带全新思科AI加速验证设计(CVD)的FlashStack与针对虚拟化和容器化环境而生的NVIDIA AI Enterprise软件套件相结合,是帮助企业在生成式AI、大语言模型(LLM)、计算机视觉等领域部署通用AI模型的范本。凭借经过最新测试验证的CVD,Pure Storage、思科和英伟达(NVIDIA)合力帮助IT团队高效且安全地大规模交付AI基础设施,并让数据科学团队以更低的风险加速项目投产。

●   Portworx® for AI:AI工作负载通常部署在容器化环境中,以确保数据科学家快速敏捷地进行模型训练和预测。与此同时,平台工程团队必须利用多云环境中的自助服务,数据弹性,高效存储攻克多项难题。有了Pure Storage Portworx的产品阵容,企业可以利用notebook和流水线的快速部署提高数据工作效率,也省去了不同类存储或多云区域之间数据传输的繁琐工序。此外,Portworx还提供跨产品线各个阶段的notebook数据集保护,提高任何区域的底层存储资源利用率,节省相关成本。

高管洞察: 

●   Pure Storage首席产品官Ajay Singh:“Pure Storage最初就预见到了AI的快速腾飞,于是恰逢其时地提供行业所需的高性能、高效率和容器支持型存储平台,真正从推动AI技术发展的海量数据中获取价值。今日的发展势头强调了Pure Storage在企业AI部署领域一路领先的优势地位,也凸显了我们始终践行面向各地企业提供强大数据存储基础设施的承诺,不遗余力地满足数据密集型AI工作负载的需求。我们很高兴能够继续助力企业自信驾驭AI复杂而深邃的潜能。” 

●   NVIDIA DGX平台高级总监Tony Paikeday:“各行各业的企业纷纷借助生成式AI来丰富客户体验,实现运营能力的转型升级。凭借NVIDIA DGX BasePOD认证,Pure Storage有能力帮助客户简化并拓展业务计划,充分利用AI赋能的洞察来加速投资回报。” 

●   思科计算高级副总裁兼总经理Jeremy Foster:“AI正在掀起应用程序和基础设施的变革。有了适用于AI的FlashStack工作负载,附加全新发布的CVD(思科验证设计)支持,IT企业可以部署一种为AI工作负载部署经过验证的基础架构,构建AI数据管道适用的数据、计算和存储基础设施,同时降低设计和投资风险,使数据科学家能够高度专注于打造AI解决方案,收获更好的业务成果。”

客户信赖Pure Storage的高级AI部署:

Pure Storage竭诚服务超100家AI客户,广泛覆盖各种AI用例,包括自动驾驶汽车、金融服务、基因组学、游戏、制造等诸多领域。 

●   Aera科技公司联合创始人兼首席技术官Shariq Mansoor:“Aera是基于AI的决策智能平台,为全球企业提供卓越服务支持。该平台精心设计旨在借助全自动化人工智能引擎实现企业决策的数字化、增强和自动化。我们之所以选择Pure Storage Portworx方案,主要是出于它的多云架构、高效资源使用和可扩展性等优良特质可灵活为我们的全球客户所用。Portworx提供最佳总拥有成本(TCO)和客户体验,是Aera的底层存储解决方案。” 

●   NCSOFT IT基础设施总监Jin-hyuk Jang:“高效管理、迁移和过滤数据的能力对于我们AI中心的成功尤为关键,中心利用AI和机器学习从图形和美工到游戏策划、编程等环节来优化整体游戏体验。简捷易用且高性能的Pure Storage FlashBlade系列帮助我们大幅缩减人工智能工作负载数据的管理时间和价值,同时灵活应用于我们内部人工智能基础设施的规模化扩展,且不额外增加开销。部分场景下,我们可为每个用户、阶段和设备移动或加载数据,所需时间从数天缩减到一天。我们很乐意继续借Pure Storage之力追求多元AI创新,不断巩固和提升我们的行业领先地位。”

●   圣约瑟夫健康中心技术基础设施主管兼网络安全/信息安全官Jesse Fasolo:“Pure Storage支持我们在诸多临床应用领域中充分利用更先进的数据和AI等功能,切实完善和推进患者护理。通过采用Pure Storage,我们如今拥有了一种支持AI、机器学习和数字处理功能的综合环境。为了促进人工智能和机器学习在整个圣约瑟夫医疗中心的推广和应用,我们决定继续在医疗中心增加放射学系统,也有意在未来进一步拓展其他成像产品的部署。有了Pure Storage,我们如今有足够的信心支持任何数据应用场景,真正满足医疗中心的需求。” 

●   DKFZ中央服务器团队负责人Tobias Reber:“在德国癌症研究中心(DKFZ),我们致力于研究癌症的发展和影响癌症风险的因素。由高级AI和ML模型支持的数字化数据可谓是这项工作的核心。Pure Storage FlashBlade系列提供了稳定可靠的基础设施,满足了我们的需求,它可以真正有效地帮助我们从海量数据中提取价值,同时确保全部1,458名研究人员快速安全地访问数据。FlashBlade系列超凡的简捷性、能效和性能为IT团队减少了人工作业负担,也帮助研究人员更快速地获取数据洞察。我们很高兴能够继续与Pure Storage合作,以前所未有的速度加快推进癌症研究。”

行业意义:

Pure Storage很早就预见到AI需求的激增,顺势推出行业所需的高性能、高效率和容器支持型存储平台,为最先进的企业AI计划注入源源动能。从支持企业开发大规模AI训练环境,到部署用于AI推理的大型语言模型,Pure Storage为客户赋予了灵活性,无论企业规模如何,都可轻松开启AI之旅。

人们对AI的热情不断集聚蔓延,因而促使全球企业迅速将这项技术与自身业务相结合,以促进实时决策、提高运营效率并增强可扩展性。然而,倘若没有及时部署适用的数据存储基础设施,企业很难真正操控发挥AI的潜能,确保数据随时高度可用且达到政策和安全要求,同时严控成本。

Pure Storage呈上AI所需的高效、高性能的存储平台,该平台以自动化为特点,能适应不断变化的需求,能经得起未来的考验,广泛满足多元、高性能的AI应用需求。

]]>
专为多云环境提供先进数据存储技术及服务的全球 IT 先锋Pure Storage® (NYSE: PSTG) 今日宣布进一步增强其产品组合,支持人工智能(AI)应用案例,在全球AI布局中继续保持强盛的客户增长势头。作为首批获得NVIDIA DGX BasePOD认证的企业数据存储供应商之一,Pure Storage目前与思科共同推出了面向AI的全新FlashStack解决方案,并已通过思科的验证。Pure Storage将继续为企业带来高效可靠的数据访问产品,支持新的AI应用程序,为实现现代化、可扩展的业务成果奠定坚实基础。

趋势亮点:

Pure Storage具备市场上最全面的数据存储平台,无论企业处于采用人工智能的哪个阶段,Pure Storage能在AI工作流程的各个环节中(从数据监管到模型训练和推算)提供至优的效率和性能。Pure Storage始终致力于支持全球领先的人工智能计划,赋能客户启动各种规模的人工智能项目,提供高度可扩展的数据存储基础,充分满足多变的需求。Pure Storage产品组合的重大进步包括:

●   AIRI®:Pure Storage始终行走在优化AI存储领域的前沿。2017年Pure Storage推出FlashBlade® 系列,2018年Pure Storage又与NVIDIA联袂开发了AI就绪型基础设施参考架构AIRI®。如今,Pure Storage成为首批通过NVIDIA DGX BasePOD认证的企业数据存储供应商之一。基于NVIDIA DGX BasePOD AI参考架构构建,同时充分利用最新的FlashBlade//S™存储平台,AIRI®可简化并加快人工智能的规模化应用,让企业更专注于获取价值洞察,无需在IT管理上耗费太多时间。

●   适用于AI的FlashStack®:凭借适用于AI领域且具备全新配套验证设计的FlashStack解决方案,Pure Storage和思科助力IT团队驾驭新的AI应用程序堆栈和数据管道,避免形成基础设施和运营孤岛。自带全新思科AI加速验证设计(CVD)的FlashStack与针对虚拟化和容器化环境而生的NVIDIA AI Enterprise软件套件相结合,是帮助企业在生成式AI、大语言模型(LLM)、计算机视觉等领域部署通用AI模型的范本。凭借经过最新测试验证的CVD,Pure Storage、思科和英伟达(NVIDIA)合力帮助IT团队高效且安全地大规模交付AI基础设施,并让数据科学团队以更低的风险加速项目投产。

●   Portworx® for AI:AI工作负载通常部署在容器化环境中,以确保数据科学家快速敏捷地进行模型训练和预测。与此同时,平台工程团队必须利用多云环境中的自助服务,数据弹性,高效存储攻克多项难题。有了Pure Storage Portworx的产品阵容,企业可以利用notebook和流水线的快速部署提高数据工作效率,也省去了不同类存储或多云区域之间数据传输的繁琐工序。此外,Portworx还提供跨产品线各个阶段的notebook数据集保护,提高任何区域的底层存储资源利用率,节省相关成本。

高管洞察: 

●   Pure Storage首席产品官Ajay Singh:“Pure Storage最初就预见到了AI的快速腾飞,于是恰逢其时地提供行业所需的高性能、高效率和容器支持型存储平台,真正从推动AI技术发展的海量数据中获取价值。今日的发展势头强调了Pure Storage在企业AI部署领域一路领先的优势地位,也凸显了我们始终践行面向各地企业提供强大数据存储基础设施的承诺,不遗余力地满足数据密集型AI工作负载的需求。我们很高兴能够继续助力企业自信驾驭AI复杂而深邃的潜能。” 

●   NVIDIA DGX平台高级总监Tony Paikeday:“各行各业的企业纷纷借助生成式AI来丰富客户体验,实现运营能力的转型升级。凭借NVIDIA DGX BasePOD认证,Pure Storage有能力帮助客户简化并拓展业务计划,充分利用AI赋能的洞察来加速投资回报。” 

●   思科计算高级副总裁兼总经理Jeremy Foster:“AI正在掀起应用程序和基础设施的变革。有了适用于AI的FlashStack工作负载,附加全新发布的CVD(思科验证设计)支持,IT企业可以部署一种为AI工作负载部署经过验证的基础架构,构建AI数据管道适用的数据、计算和存储基础设施,同时降低设计和投资风险,使数据科学家能够高度专注于打造AI解决方案,收获更好的业务成果。”

客户信赖Pure Storage的高级AI部署:

Pure Storage竭诚服务超100家AI客户,广泛覆盖各种AI用例,包括自动驾驶汽车、金融服务、基因组学、游戏、制造等诸多领域。 

●   Aera科技公司联合创始人兼首席技术官Shariq Mansoor:“Aera是基于AI的决策智能平台,为全球企业提供卓越服务支持。该平台精心设计旨在借助全自动化人工智能引擎实现企业决策的数字化、增强和自动化。我们之所以选择Pure Storage Portworx方案,主要是出于它的多云架构、高效资源使用和可扩展性等优良特质可灵活为我们的全球客户所用。Portworx提供最佳总拥有成本(TCO)和客户体验,是Aera的底层存储解决方案。” 

●   NCSOFT IT基础设施总监Jin-hyuk Jang:“高效管理、迁移和过滤数据的能力对于我们AI中心的成功尤为关键,中心利用AI和机器学习从图形和美工到游戏策划、编程等环节来优化整体游戏体验。简捷易用且高性能的Pure Storage FlashBlade系列帮助我们大幅缩减人工智能工作负载数据的管理时间和价值,同时灵活应用于我们内部人工智能基础设施的规模化扩展,且不额外增加开销。部分场景下,我们可为每个用户、阶段和设备移动或加载数据,所需时间从数天缩减到一天。我们很乐意继续借Pure Storage之力追求多元AI创新,不断巩固和提升我们的行业领先地位。”

●   圣约瑟夫健康中心技术基础设施主管兼网络安全/信息安全官Jesse Fasolo:“Pure Storage支持我们在诸多临床应用领域中充分利用更先进的数据和AI等功能,切实完善和推进患者护理。通过采用Pure Storage,我们如今拥有了一种支持AI、机器学习和数字处理功能的综合环境。为了促进人工智能和机器学习在整个圣约瑟夫医疗中心的推广和应用,我们决定继续在医疗中心增加放射学系统,也有意在未来进一步拓展其他成像产品的部署。有了Pure Storage,我们如今有足够的信心支持任何数据应用场景,真正满足医疗中心的需求。” 

●   DKFZ中央服务器团队负责人Tobias Reber:“在德国癌症研究中心(DKFZ),我们致力于研究癌症的发展和影响癌症风险的因素。由高级AI和ML模型支持的数字化数据可谓是这项工作的核心。Pure Storage FlashBlade系列提供了稳定可靠的基础设施,满足了我们的需求,它可以真正有效地帮助我们从海量数据中提取价值,同时确保全部1,458名研究人员快速安全地访问数据。FlashBlade系列超凡的简捷性、能效和性能为IT团队减少了人工作业负担,也帮助研究人员更快速地获取数据洞察。我们很高兴能够继续与Pure Storage合作,以前所未有的速度加快推进癌症研究。”

行业意义:

Pure Storage很早就预见到AI需求的激增,顺势推出行业所需的高性能、高效率和容器支持型存储平台,为最先进的企业AI计划注入源源动能。从支持企业开发大规模AI训练环境,到部署用于AI推理的大型语言模型,Pure Storage为客户赋予了灵活性,无论企业规模如何,都可轻松开启AI之旅。

人们对AI的热情不断集聚蔓延,因而促使全球企业迅速将这项技术与自身业务相结合,以促进实时决策、提高运营效率并增强可扩展性。然而,倘若没有及时部署适用的数据存储基础设施,企业很难真正操控发挥AI的潜能,确保数据随时高度可用且达到政策和安全要求,同时严控成本。

Pure Storage呈上AI所需的高效、高性能的存储平台,该平台以自动化为特点,能适应不断变化的需求,能经得起未来的考验,广泛满足多元、高性能的AI应用需求。

]]>
//www.cghlg.com/article/202312/453784.htm Mon, 11 Dec 2023 18:01:11 +0800
<![CDATA[ 研华Mini-ITX 12/13代工业主板AIMB-208新品上市,成本控制理想之选! ]]> 2023年10月 ,嵌入式物联网运算解决方案供应商研华隆重推出兼顾性能与功能的 Mini-ITX 工业主板AIMB-208。AIMB-208采用Intel全新12/13代Core I桌面级处理器,性能较上一代至少提升了1.8倍。该产品专为处理大量数据输入和输出而设计,集成了多个 I/O 接口,包括6个COM和10个USB,以确保各种设备、传感器和系统之间的无缝传输。体积小巧,性能出色。总而言之,AIMB-208专为工厂自动化、体外诊断和自助服务设备等应用而设计,这些应用可借助AIMB-208的高可扩展性来连接各种设备,以实现快速部署和生产。

image.png

丰富I/O为智能自动化提供出色的配置灵活性

AIMB-208配备6个COM接口和10个USB接口,可满足智能工厂的各种需求。COM 接口可提供长距离数据传输,实现与传统设备的通信,这通常是大型工业自动化现场所需要的。不仅如此,丰富的USB端口便于实时数据传输,并确保即时的多任务响应能力。这些全面的I/O接口不仅增强了设备间的连接性,更大限度地提高了接口利用率,从而实现了高效、多功能的自动化应用。

 

增强机器视觉的数据可视化和图像分析能力

AIMB-208提供出色的集成图形性能 (iGPU),有效的减少了对额外外部图形卡的需求。这使得PCIe插槽可以通过适配卡支持更多的LAN端口,满足各种设备的安装需求,尤其是机器视觉应用。AIMB-208具有原生GPU性能和PCIe Gen4 x16插槽,可扩展出多个LAN端口和超高速USB端口。开发人员可高效处理边缘端高速、高分辨率摄像头同步生成的海量数据。通过集成这些功能,AIMB-208提高了推理的准确性和质量,为客户提高了生产率,同时避免了系统升级兼容性问题。

 

支持嵌入式操作系统,通过远程管理加快终端产品部署并降低运营成本

此外,AIMB-208还支持DeviceOn物联网设备综合管理平台,帮助客户实时远程监控和管理数千台设备。这不仅有助于识别潜在问题,还能迅速解决问题,有效减少停机时间,从而降低人力成本,实现经济高效的全天候运行管理。此外,研华还提供定制的BIOS、操作系统、Windows和Ubuntu,以加快客户的开发进程,同时确保他们的系统及时更新固件和安全补丁,从而优化系统效率和安全性。

 

总而言之,AIMB-208基于全新第12/13代Intel平台,丰富的I/O设计和管理软件,为自动化和工业控制带来十分经济的性能和生产力。

image.png

产品特性:

Ÿ   第12/13代 Intel Core 桌面级处理器,多达24 核,支持H610E芯片组

Ÿ   64GB DDR4 3200MHz SODIMM

Ÿ   三重独立显示:2 x DP、1 x HDMI和1 x LVDS

Ÿ   丰富的扩展支持:用于NVMe的M.2 M-Key、用于Wi-Fi连接的M.2 E-Key、PCIe x16 Gen4、4 x USB 3.2、6 x USB 2.0和2 x SATA

Ÿ   嵌入式操作系统:Windows 10、Linux Ubuntu和DeviceOn

Ÿ   高性价比,快速部署,有助于降低客户成本

 

目前研华工业主板AIMB-208已正式上市。

]]>
2023年10月 ,嵌入式物联网运算解决方案供应商研华隆重推出兼顾性能与功能的 Mini-ITX 工业主板AIMB-208。AIMB-208采用Intel全新12/13代Core I桌面级处理器,性能较上一代至少提升了1.8倍。该产品专为处理大量数据输入和输出而设计,集成了多个 I/O 接口,包括6个COM和10个USB,以确保各种设备、传感器和系统之间的无缝传输。体积小巧,性能出色。总而言之,AIMB-208专为工厂自动化、体外诊断和自助服务设备等应用而设计,这些应用可借助AIMB-208的高可扩展性来连接各种设备,以实现快速部署和生产。

image.png

丰富I/O为智能自动化提供出色的配置灵活性

AIMB-208配备6个COM接口和10个USB接口,可满足智能工厂的各种需求。COM 接口可提供长距离数据传输,实现与传统设备的通信,这通常是大型工业自动化现场所需要的。不仅如此,丰富的USB端口便于实时数据传输,并确保即时的多任务响应能力。这些全面的I/O接口不仅增强了设备间的连接性,更大限度地提高了接口利用率,从而实现了高效、多功能的自动化应用。

 

增强机器视觉的数据可视化和图像分析能力

AIMB-208提供出色的集成图形性能 (iGPU),有效的减少了对额外外部图形卡的需求。这使得PCIe插槽可以通过适配卡支持更多的LAN端口,满足各种设备的安装需求,尤其是机器视觉应用。AIMB-208具有原生GPU性能和PCIe Gen4 x16插槽,可扩展出多个LAN端口和超高速USB端口。开发人员可高效处理边缘端高速、高分辨率摄像头同步生成的海量数据。通过集成这些功能,AIMB-208提高了推理的准确性和质量,为客户提高了生产率,同时避免了系统升级兼容性问题。

 

支持嵌入式操作系统,通过远程管理加快终端产品部署并降低运营成本

此外,AIMB-208还支持DeviceOn物联网设备综合管理平台,帮助客户实时远程监控和管理数千台设备。这不仅有助于识别潜在问题,还能迅速解决问题,有效减少停机时间,从而降低人力成本,实现经济高效的全天候运行管理。此外,研华还提供定制的BIOS、操作系统、Windows和Ubuntu,以加快客户的开发进程,同时确保他们的系统及时更新固件和安全补丁,从而优化系统效率和安全性。

 

总而言之,AIMB-208基于全新第12/13代Intel平台,丰富的I/O设计和管理软件,为自动化和工业控制带来十分经济的性能和生产力。

image.png

产品特性:

Ÿ   第12/13代 Intel Core 桌面级处理器,多达24 核,支持H610E芯片组

Ÿ   64GB DDR4 3200MHz SODIMM

Ÿ   三重独立显示:2 x DP、1 x HDMI和1 x LVDS

Ÿ   丰富的扩展支持:用于NVMe的M.2 M-Key、用于Wi-Fi连接的M.2 E-Key、PCIe x16 Gen4、4 x USB 3.2、6 x USB 2.0和2 x SATA

Ÿ   嵌入式操作系统:Windows 10、Linux Ubuntu和DeviceOn

Ÿ   高性价比,快速部署,有助于降低客户成本

 

目前研华工业主板AIMB-208已正式上市。

]]>
//www.cghlg.com/article/202312/453783.htm Mon, 11 Dec 2023 17:58:02 +0800
<![CDATA[ 研华携手Hailo,扩展高算力边缘 AI 产品组合 ]]> 2023 年, AIoT 平台与服务供应商研华科技宣布与 AI 芯片先驱厂商 Hailo 建立新的战略合作伙伴关系,共同拓展高算力的边缘 AI 产品组合。通过此次合作,研华将利用 Hailo AI 加速芯片,开发出性能卓越、性价比极高的边缘 AI 系统和 AI 加速模块,以满足工厂 AOI 缺陷检测、仓库 AMR 物体检测和公共停车场管理等紧凑而强大的边缘 AI 应用需求。

image.png

            边缘人工智能用例多种多样,因此需要AI计算能力、功耗和工业设计的多样性。在将边缘设备集成到机器人或自主设备时,功耗敏感性是一个重要的考虑因素,因为较低的功耗可以延长电池寿命和运行时间。Hailo 的产品组合包括 Hailo-8 AI 加速模块,它可与边缘平台无缝集成,以 2.5W 的低功耗、小尺寸和低成本在边缘实现 26 TOPS 的实时深度学习推理任务。

            据悉,研华将于第四季度推出一系列搭载Hailo-8 M.2 AI加速模块的边缘AI系统,包括EPC-R3720ARK-1250ARK-3533EI-53。研华的Edge AI软件是协助客户评估、开发和部署各种边缘AI应用的工具集,将集成HailoAI SDK TAPPAS,该软件已在研华的硬件模型上通过验证。

            "研华嵌入式物联网事业群副总裁Aaron Su表示:"我们很高兴能与Hailo合作,在边缘实现可扩展的高效AI功能。”;“Hailo与研华的合作标志着双方将共同致力于通过多样化的技术、解决方案和服务加速边缘AI推理应用的开发。”;

我们很高兴能扩大与研华的合作,为边缘人工智能应用带来高效和高性能。”Hailo中国总经理Gary Huang说道;"Hailo同样很荣幸能与研华这样出色伙伴携手,利用人工智能为工业自动化、安防和智慧城市等多个细分市场赋能。”

]]>
2023 年, AIoT 平台与服务供应商研华科技宣布与 AI 芯片先驱厂商 Hailo 建立新的战略合作伙伴关系,共同拓展高算力的边缘 AI 产品组合。通过此次合作,研华将利用 Hailo AI 加速芯片,开发出性能卓越、性价比极高的边缘 AI 系统和 AI 加速模块,以满足工厂 AOI 缺陷检测、仓库 AMR 物体检测和公共停车场管理等紧凑而强大的边缘 AI 应用需求。

image.png

            边缘人工智能用例多种多样,因此需要AI计算能力、功耗和工业设计的多样性。在将边缘设备集成到机器人或自主设备时,功耗敏感性是一个重要的考虑因素,因为较低的功耗可以延长电池寿命和运行时间。Hailo 的产品组合包括 Hailo-8 AI 加速模块,它可与边缘平台无缝集成,以 2.5W 的低功耗、小尺寸和低成本在边缘实现 26 TOPS 的实时深度学习推理任务。

            据悉,研华将于第四季度推出一系列搭载Hailo-8 M.2 AI加速模块的边缘AI系统,包括EPC-R3720ARK-1250ARK-3533EI-53。研华的Edge AI软件是协助客户评估、开发和部署各种边缘AI应用的工具集,将集成HailoAI SDK TAPPAS,该软件已在研华的硬件模型上通过验证。

            "研华嵌入式物联网事业群副总裁Aaron Su表示:"我们很高兴能与Hailo合作,在边缘实现可扩展的高效AI功能。”;“Hailo与研华的合作标志着双方将共同致力于通过多样化的技术、解决方案和服务加速边缘AI推理应用的开发。”;

我们很高兴能扩大与研华的合作,为边缘人工智能应用带来高效和高性能。”Hailo中国总经理Gary Huang说道;"Hailo同样很荣幸能与研华这样出色伙伴携手,利用人工智能为工业自动化、安防和智慧城市等多个细分市场赋能。”

]]>
//www.cghlg.com/article/202312/453782.htm Mon, 11 Dec 2023 17:54:06 +0800
<![CDATA[ 艾迈斯欧司朗SFH 7018助力可穿戴设备实现高质量的心率和血氧测量 ]]>  

·       与上一代产品相比,新型SFH 7018绿红外LED可将总辐射强度提高40%以上。产品推出了两个版本,其中一个版本的绿色发射器的辐射强度更是提高一倍以上。内部研究显示,SFH 7018是市场上性能最佳的产品之一;

·       更高亮度的LED与双腔体设计相结合,可提高心率和血氧饱和度测量的准确度;

·       紧凑的尺寸和最佳的LED间距可提高系统性能,更便于集成到最终产品设计中。

 

中国 上海,20231211——全球领先的光学解决方案供应商艾迈斯欧司朗瑞士证券交易所股票代码:AMS)今日宣布,发布一款新型多色LED封装产品SFH 7018,辐射强度比上一代产品高出40%以上,可在智能手表、腕带和其他可穿戴设备中提高PPG(光电容积描记)测量的准确度。

 image.png

SFH 7018应用图片(图片:艾迈斯欧司朗)

 

SFH 7018采用高反射率的QFN(方形扁平无引脚)封装,显著提高了光输出。此外,改进的双腔体设计将绿色LED与红色LED和红外(IRLED分开放置:间距和光学隔离确保相对于光电二极管,光源放置达到最佳效果,并减少绿光(用于心率测量)与红光和红外光源(用于血氧饱和度或SpO2测量)之间的干扰。由于较短波长的交叉激发,绿色芯片不会导致红色和红外芯片发生荧光。

 

表面贴装QFN封装的优化尺寸仅为0.6mm高,便于将该模块集成到任何类型的可穿戴设备中。尽管尺寸紧凑,但SFH 7018提供了双驱动功能,可优化正向电压余度并降低总体功耗。

 

艾迈斯欧司朗的高级系统架构师Sergey Kudaev博士表示:“凭借新型SFH 7018,可穿戴设备制造商可以显著提高心率和血氧测量所依赖的光学信号的质量,使此类测量在所有运行条件下更加准确和可靠。在生命体征测量方面,SFH 7018有助于提高心率、血氧水平甚至更高级参数(如血压)测定的准确度和绝对性。”

 

image.png

SFH 7018A产品图片(图片:艾迈斯欧司朗)

 

提高辐射强度,增加信噪比

 

SFH 7018的辐射强度显著提高:与现有产品SFH 7016相比,产品SFH 7018的红色和红外LED亮度提高了40%以上,SFH 7018A版本中的绿色LED亮度提高了80%,而SFH 7018B版本中的绿色LED亮度则提高了一倍以上。在红色、绿色和红外波长各处,SFH 7018的亮度也远远超过了当今性能最好的竞品。

 

由于散射和吸收现象(取决于各种因素),组织中的光信号较微弱,在此基础上,检测光信号微小调制是所有生命体征监测设备面临的一大挑战,因此,LED发出的光量会对系统性能产生极大影响。当更多光线经血流改变后到达光电二极管时,信号质量得以提高,从而提高测量准确度和可重复性。SFH 7018通过向体内发射更多光线,实现了卓越的性能。

 

SFH 7018有两个版本:SFH 7018A针对高电流下的低正向电压进行了优化,无需配备昂贵的升压器即可运行。SFH 7018B针对最大辐射强度进行了优化。

 

image.png

SFH 7018B产品图片(图片:艾迈斯欧司朗)

 

目前两个版本均已开始量产。

]]>
 

·       与上一代产品相比,新型SFH 7018绿红外LED可将总辐射强度提高40%以上。产品推出了两个版本,其中一个版本的绿色发射器的辐射强度更是提高一倍以上。内部研究显示,SFH 7018是市场上性能最佳的产品之一;

·       更高亮度的LED与双腔体设计相结合,可提高心率和血氧饱和度测量的准确度;

·       紧凑的尺寸和最佳的LED间距可提高系统性能,更便于集成到最终产品设计中。

 

中国 上海,20231211——全球领先的光学解决方案供应商艾迈斯欧司朗瑞士证券交易所股票代码:AMS)今日宣布,发布一款新型多色LED封装产品SFH 7018,辐射强度比上一代产品高出40%以上,可在智能手表、腕带和其他可穿戴设备中提高PPG(光电容积描记)测量的准确度。

 image.png

SFH 7018应用图片(图片:艾迈斯欧司朗)

 

SFH 7018采用高反射率的QFN(方形扁平无引脚)封装,显著提高了光输出。此外,改进的双腔体设计将绿色LED与红色LED和红外(IRLED分开放置:间距和光学隔离确保相对于光电二极管,光源放置达到最佳效果,并减少绿光(用于心率测量)与红光和红外光源(用于血氧饱和度或SpO2测量)之间的干扰。由于较短波长的交叉激发,绿色芯片不会导致红色和红外芯片发生荧光。

 

表面贴装QFN封装的优化尺寸仅为0.6mm高,便于将该模块集成到任何类型的可穿戴设备中。尽管尺寸紧凑,但SFH 7018提供了双驱动功能,可优化正向电压余度并降低总体功耗。

 

艾迈斯欧司朗的高级系统架构师Sergey Kudaev博士表示:“凭借新型SFH 7018,可穿戴设备制造商可以显著提高心率和血氧测量所依赖的光学信号的质量,使此类测量在所有运行条件下更加准确和可靠。在生命体征测量方面,SFH 7018有助于提高心率、血氧水平甚至更高级参数(如血压)测定的准确度和绝对性。”

 

image.png

SFH 7018A产品图片(图片:艾迈斯欧司朗)

 

提高辐射强度,增加信噪比

 

SFH 7018的辐射强度显著提高:与现有产品SFH 7016相比,产品SFH 7018的红色和红外LED亮度提高了40%以上,SFH 7018A版本中的绿色LED亮度提高了80%,而SFH 7018B版本中的绿色LED亮度则提高了一倍以上。在红色、绿色和红外波长各处,SFH 7018的亮度也远远超过了当今性能最好的竞品。

 

由于散射和吸收现象(取决于各种因素),组织中的光信号较微弱,在此基础上,检测光信号微小调制是所有生命体征监测设备面临的一大挑战,因此,LED发出的光量会对系统性能产生极大影响。当更多光线经血流改变后到达光电二极管时,信号质量得以提高,从而提高测量准确度和可重复性。SFH 7018通过向体内发射更多光线,实现了卓越的性能。

 

SFH 7018有两个版本:SFH 7018A针对高电流下的低正向电压进行了优化,无需配备昂贵的升压器即可运行。SFH 7018B针对最大辐射强度进行了优化。

 

image.png

SFH 7018B产品图片(图片:艾迈斯欧司朗)

 

目前两个版本均已开始量产。

]]>
//www.cghlg.com/article/202312/453781.htm Mon, 11 Dec 2023 17:47:26 +0800
<![CDATA[ 美光发布业界领先的客户端 SSD,助力PC产业满足游戏、内容创作和科学计算的应用需求 ]]> 2023 12 11 日,中国上海——Micron Technology, Inc.(美光科技股份有限公司,纳斯达克股票代码MU)近日宣布,基于美光 232 NAND技术的 3500 NVMe 固态硬盘(SSD 现已向客户出货,用于满足商业应用、科学计算、新款游戏和内容创作对工作负载的严苛需求,从而进一步实现性能突破。美光 3500 SSD 采用 M.2 外形规格,容量高达 2TB,提供了超越竞品的用户体验[[1]],在SPECwpcsm 测试中表现突出,能将产品开发应用性能提升至高 71%[[2]]

 

image.png

 

美光副总裁兼客户端存储部门总经理 Prasad Alluri 表示:“美光致力于为终端用户提供满足其严苛要求的卓越产品。美光3500 SSD在科学计算基准测试中表现非凡,将得分提升 132%[2],为用户的个人电脑或工作站提供强大支持,帮助用户更快获得洞察并充分发挥创造力。”

 

存储性能对于游戏、内容创作和客户端新兴 AI 体验而言变得愈发重要。随着游戏画质和文件大小不断提升,其加载时间也变得更长。客户端 AI 应用需要更快的数据访问速度来提升用户体验。美光 3500 SSD 能够轻松应对这些挑战:

·       美光 3500 SSD 加载最大型的全新游戏《无畏契约》时,速度提升高达 38%,为玩家带来更加流畅的游戏体验。[[3]]

·       美光 3500 SSD 还支持 DirectStorage 功能,可进一步缩短适配游戏的加载时间。

·       内容创作者可借助美光 3500 SSD更快加载和编辑原生 4K 8K 视频,从而加快作品发布时间。

·       PCMark 10® 性能基准测试中,[[4]]美光 3500 SSD 表现出色:

o   带宽提升高达 36%

o   数据访问速度提升高达 38%

o   总体结果与竞品相比提升高达 37%

 

微星(MSI)笔记本电脑产品副总裁Clark Peng表示:“随着沉浸式技术的发展,高性能存储设备在开放世界游戏和高端笔记本电脑(例如微星电竞系列)中的作用愈发重要。美光 3500 SSD 以其业界领先的 NAND 技术实现了这一性能优势,可轻松应对即将上市的多款游戏。”

 

ClearView Memory Research 创始人 Pablo Temprano 表示:“美光 3500 SSD 是一款适配高级软件的高性能产品,助力软件实现数据直接传输至 GPU。这不仅能够改善用户体验,还将显著变革初代配备 AI 功能的 PC。随着客户端 AI 的发展,我们预计高端 PC 的出货量和平均存储容量都将迎来强劲增长。”

 

美光 3500 SSD现已面向部分 PC OEM 厂商出货,并在屡获殊荣的 Crucial 英睿达 X9 Pro Crucial 英睿达 X10 Pro 便携式SSD基础上,为内容创作者提供了更丰富的 SSD 产品选项 



[[1]] 营收计算,截至 2023 11 月排名前四的客户端 OEM SSD 竞品供应商所提供的高性能客户端SSD(不包括主机产品),参见 Forward Insights 分析师报告《第三季度 SSD 供应商情况报告》 

[[2]] 基于与主要竞品之间的 SPECwpc 基准测试得分比较(详见脚注 1)。该测试为美光内部测试。有关 SPECwpc 基准测试的详细信息,请参见 SPECwpc V2.0 工作站基准测试

[3] 基于美光内部使用Riot Games 的《无畏契约》和 Activision 的《使命召唤:现代战争》测试加载时间与竞品SSD的比较(详见脚注 1

[4] 基于与竞品 SSDPCMark 10® 内部比较测试(详见脚注 1)。有关 PCMark 10 基准测试的详细信息,请参见 https://benchmarks.ul.com/pcmark10

]]>
2023 12 11 日,中国上海——Micron Technology, Inc.(美光科技股份有限公司,纳斯达克股票代码MU)近日宣布,基于美光 232 NAND技术的 3500 NVMe 固态硬盘(SSD 现已向客户出货,用于满足商业应用、科学计算、新款游戏和内容创作对工作负载的严苛需求,从而进一步实现性能突破。美光 3500 SSD 采用 M.2 外形规格,容量高达 2TB,提供了超越竞品的用户体验[[1]],在SPECwpcsm 测试中表现突出,能将产品开发应用性能提升至高 71%[[2]]

 

image.png

 

美光副总裁兼客户端存储部门总经理 Prasad Alluri 表示:“美光致力于为终端用户提供满足其严苛要求的卓越产品。美光3500 SSD在科学计算基准测试中表现非凡,将得分提升 132%[2],为用户的个人电脑或工作站提供强大支持,帮助用户更快获得洞察并充分发挥创造力。”

 

存储性能对于游戏、内容创作和客户端新兴 AI 体验而言变得愈发重要。随着游戏画质和文件大小不断提升,其加载时间也变得更长。客户端 AI 应用需要更快的数据访问速度来提升用户体验。美光 3500 SSD 能够轻松应对这些挑战:

·       美光 3500 SSD 加载最大型的全新游戏《无畏契约》时,速度提升高达 38%,为玩家带来更加流畅的游戏体验。[[3]]

·       美光 3500 SSD 还支持 DirectStorage 功能,可进一步缩短适配游戏的加载时间。

·       内容创作者可借助美光 3500 SSD更快加载和编辑原生 4K 8K 视频,从而加快作品发布时间。

·       PCMark 10® 性能基准测试中,[[4]]美光 3500 SSD 表现出色:

o   带宽提升高达 36%

o   数据访问速度提升高达 38%

o   总体结果与竞品相比提升高达 37%

 

微星(MSI)笔记本电脑产品副总裁Clark Peng表示:“随着沉浸式技术的发展,高性能存储设备在开放世界游戏和高端笔记本电脑(例如微星电竞系列)中的作用愈发重要。美光 3500 SSD 以其业界领先的 NAND 技术实现了这一性能优势,可轻松应对即将上市的多款游戏。”

 

ClearView Memory Research 创始人 Pablo Temprano 表示:“美光 3500 SSD 是一款适配高级软件的高性能产品,助力软件实现数据直接传输至 GPU。这不仅能够改善用户体验,还将显著变革初代配备 AI 功能的 PC。随着客户端 AI 的发展,我们预计高端 PC 的出货量和平均存储容量都将迎来强劲增长。”

 

美光 3500 SSD现已面向部分 PC OEM 厂商出货,并在屡获殊荣的 Crucial 英睿达 X9 Pro Crucial 英睿达 X10 Pro 便携式SSD基础上,为内容创作者提供了更丰富的 SSD 产品选项 



[[1]] 营收计算,截至 2023 11 月排名前四的客户端 OEM SSD 竞品供应商所提供的高性能客户端SSD(不包括主机产品),参见 Forward Insights 分析师报告《第三季度 SSD 供应商情况报告》 

[[2]] 基于与主要竞品之间的 SPECwpc 基准测试得分比较(详见脚注 1)。该测试为美光内部测试。有关 SPECwpc 基准测试的详细信息,请参见 SPECwpc V2.0 工作站基准测试

[3] 基于美光内部使用Riot Games 的《无畏契约》和 Activision 的《使命召唤:现代战争》测试加载时间与竞品SSD的比较(详见脚注 1

[4] 基于与竞品 SSDPCMark 10® 内部比较测试(详见脚注 1)。有关 PCMark 10 基准测试的详细信息,请参见 https://benchmarks.ul.com/pcmark10

]]>
//www.cghlg.com/article/202312/453780.htm Mon, 11 Dec 2023 17:41:04 +0800
<![CDATA[ 高能进阶,畅酷体验,铠侠NVMe™ 固态硬盘系列新成员上线 ]]> 2023年12月11日,中国上海 作为全球存储器解决方案领导者的铠侠株式会社于近日发布了EXCERIA PLUS™ 极至光速™ G2 NVMe™ SSD的升级版本——EXCERIA PLUS™ 极至光速™ G3 NVMe™ SSD。该系列产品具有强大的 PCIe ® 4.0 接口,可为游戏玩家,游戏发烧友和视频编辑者提供更优秀的性能。这款 M.2 2280 单面系列容量高达 2TB,适合支持PCIe ® 4.0 标准的主流台式机和笔记本电脑。

   image.png

相比前一代产品,EXCERIA PLUS G3 SSD系列在EXCERIA PLUS G2系列固态硬盘的基础上进行全新升级。硬盘采用全新的PCIe®4.0技术,实现更快的数据传输速度,更好的释放硬盘读写性能。EXCERIA PLUS G3 SSD系列拥有1TB和2TB两种容量可选,顺序读取速度高达 5,000MB/s ,顺序写入速度高达 3,900MB/s。硬盘的随机读写速度也进一步提升,随机写入速度可达 950,000 IOPS ,1TB容量的随机读取速度高达 770,000 IOPS ,2TB容量的随机读取速度高达680,000 IOPS。耐久性(TBW)2TB版本提升到高达1,200TBW。

image.png

EXCERIA PLUS G3 SSD采用节省空间的单面 M.2 2280 外形设计,与更广泛的设备兼容。支持主流台式机和笔记本电脑,可直接插入主板,消除了线缆杂乱,从而实现更时尚、更简单的系统升级。

image.png

image.png

EXCERIA PLUS G3 SSD还采用了铠侠领先的3D BiCS FLASH™原厂颗粒,通过单面颗粒的设计,能够有效节省存储空间,为用户提供高端的存储体验。还搭载SSD Utility监测功能,让用户在电脑上就能查看运行状态,方便随时调整和掌控,可视化呈现性能状态,给予安心游戏取胜保障。该产品已经在电商平台开始售卖。

image.png

作为全球领先的存储制造商,铠侠秉持着“记忆由芯,世界尤新 “的发展使命,

致力于开发、生产和销售闪存及固态硬盘(SSD),为各个应用场景提供存储解决方案。铠侠致力于通过产品、服务和系统,为客户提供选择,为社会创造基于存储器的价值,通过“存储器”提升世界。面对今后的挑战,铠侠也在不断地进行技术迭代,让闪存更快更强。未来铠侠仍将凭借行业领先的存储技术以及产品性能的独有优势,不断升级存储技术,为广大游戏玩家提供高性能高颜值的存储产品,满足游戏玩家的全方位存储需求,拥抱存储新时代!

image.png

###

 

EXCERIA PLUS G3 SSD:顺序读写速度测量条件:CrystalDiskMark 8.0.4 x64, Q=32, T=1 EXCERIA
这些数值是在铠侠株式会社的特定测试环境中获得的最佳值,铠侠株式会社不保证在个别设备中的读写速度。读写速度可能取决于所使用的设备和所读取或写入的文件大小。

EXCERIA PLUS G3 SSD:4KiB随机读写性能测量条件: CrystalDiskMark 8.0.4 x64, Q=32,T=16

这些数值是在铠侠株式会社的特定测试环境中获得的最佳值,铠侠株式会社不保证在个别设备中的读写速度。读写速度可能取决于所使用的设备和所读取或写入的文件大小。

TBW(Total Bytes Written)的定义和条件是基于JEDEC标准;JESD219A固态硬盘(SSD)耐久工作量,2012年7月,并作为使用寿命定义。

 

容量的定义:铠侠定义1兆字节(MB)为1,000,000字节,1千兆字节(GB)为1,000,000,000字节,1兆兆字节(TB)为1,000,000,000,000字节。但是计算机操作系统记录存储容量时使用2的幂数进行表示,即定义1GB = 230 = 1,073,741,824字节,因此会出现存储容量变小的情况。可用存储容量(包括各种媒体文件的示例)将根据文件大小、格式、设置、软件和操作系统(例如Microsoft®操作系统和/或预安装的软件应用程序)或媒体内容而异。实际格式化的容量可能有所不同。

 

1千位字节 (KiB) 指 210, 或1,024字节,1兆字节(MiB) 指 220,或1,048,576 字节,1千兆字节(GiB)指230, 或1,073,741,824字节。

IOPS:每秒输入输出(或每秒I/O操作数)

MTTF(平均无故障时间)并不是指产品寿命的保证或预估;它是根据大量产品的平均故障率所得出的统计值,可能无法精确地反映实际运行。产品的实际运行寿命可能不同于MTTF。

读写速度可能因主机设备、读写条件和文件大小的不同而不同。

产品规格和设计可能发生更改,恕不另行通知。

产品图像可以代表设计模型。产品外观可能与实际产品不同。

为避免意外的数据丢失,请经常把您的数据备份在其他存储介质上。铠侠株式会社不对产品中存储的任何数据提供担保。

 

PCIe是PCI-SIG的注册商标。

NVMe 和 NVMe-MI 是NVM Express,Inc.在美国和其他国家/地区的注册或未注册商标。

其他公司名称、产品名称和服务名称可能是第三方公司的商标。

 


]]>
2023年12月11日,中国上海 作为全球存储器解决方案领导者的铠侠株式会社于近日发布了EXCERIA PLUS™ 极至光速™ G2 NVMe™ SSD的升级版本——EXCERIA PLUS™ 极至光速™ G3 NVMe™ SSD。该系列产品具有强大的 PCIe ® 4.0 接口,可为游戏玩家,游戏发烧友和视频编辑者提供更优秀的性能。这款 M.2 2280 单面系列容量高达 2TB,适合支持PCIe ® 4.0 标准的主流台式机和笔记本电脑。

   image.png

相比前一代产品,EXCERIA PLUS G3 SSD系列在EXCERIA PLUS G2系列固态硬盘的基础上进行全新升级。硬盘采用全新的PCIe®4.0技术,实现更快的数据传输速度,更好的释放硬盘读写性能。EXCERIA PLUS G3 SSD系列拥有1TB和2TB两种容量可选,顺序读取速度高达 5,000MB/s ,顺序写入速度高达 3,900MB/s。硬盘的随机读写速度也进一步提升,随机写入速度可达 950,000 IOPS ,1TB容量的随机读取速度高达 770,000 IOPS ,2TB容量的随机读取速度高达680,000 IOPS。耐久性(TBW)2TB版本提升到高达1,200TBW。

image.png

EXCERIA PLUS G3 SSD采用节省空间的单面 M.2 2280 外形设计,与更广泛的设备兼容。支持主流台式机和笔记本电脑,可直接插入主板,消除了线缆杂乱,从而实现更时尚、更简单的系统升级。

image.png

image.png

EXCERIA PLUS G3 SSD还采用了铠侠领先的3D BiCS FLASH™原厂颗粒,通过单面颗粒的设计,能够有效节省存储空间,为用户提供高端的存储体验。还搭载SSD Utility监测功能,让用户在电脑上就能查看运行状态,方便随时调整和掌控,可视化呈现性能状态,给予安心游戏取胜保障。该产品已经在电商平台开始售卖。

image.png

作为全球领先的存储制造商,铠侠秉持着“记忆由芯,世界尤新 “的发展使命,

致力于开发、生产和销售闪存及固态硬盘(SSD),为各个应用场景提供存储解决方案。铠侠致力于通过产品、服务和系统,为客户提供选择,为社会创造基于存储器的价值,通过“存储器”提升世界。面对今后的挑战,铠侠也在不断地进行技术迭代,让闪存更快更强。未来铠侠仍将凭借行业领先的存储技术以及产品性能的独有优势,不断升级存储技术,为广大游戏玩家提供高性能高颜值的存储产品,满足游戏玩家的全方位存储需求,拥抱存储新时代!

image.png

###

 

EXCERIA PLUS G3 SSD:顺序读写速度测量条件:CrystalDiskMark 8.0.4 x64, Q=32, T=1 EXCERIA
这些数值是在铠侠株式会社的特定测试环境中获得的最佳值,铠侠株式会社不保证在个别设备中的读写速度。读写速度可能取决于所使用的设备和所读取或写入的文件大小。

EXCERIA PLUS G3 SSD:4KiB随机读写性能测量条件: CrystalDiskMark 8.0.4 x64, Q=32,T=16

这些数值是在铠侠株式会社的特定测试环境中获得的最佳值,铠侠株式会社不保证在个别设备中的读写速度。读写速度可能取决于所使用的设备和所读取或写入的文件大小。

TBW(Total Bytes Written)的定义和条件是基于JEDEC标准;JESD219A固态硬盘(SSD)耐久工作量,2012年7月,并作为使用寿命定义。

 

容量的定义:铠侠定义1兆字节(MB)为1,000,000字节,1千兆字节(GB)为1,000,000,000字节,1兆兆字节(TB)为1,000,000,000,000字节。但是计算机操作系统记录存储容量时使用2的幂数进行表示,即定义1GB = 230 = 1,073,741,824字节,因此会出现存储容量变小的情况。可用存储容量(包括各种媒体文件的示例)将根据文件大小、格式、设置、软件和操作系统(例如Microsoft®操作系统和/或预安装的软件应用程序)或媒体内容而异。实际格式化的容量可能有所不同。

 

1千位字节 (KiB) 指 210, 或1,024字节,1兆字节(MiB) 指 220,或1,048,576 字节,1千兆字节(GiB)指230, 或1,073,741,824字节。

IOPS:每秒输入输出(或每秒I/O操作数)

MTTF(平均无故障时间)并不是指产品寿命的保证或预估;它是根据大量产品的平均故障率所得出的统计值,可能无法精确地反映实际运行。产品的实际运行寿命可能不同于MTTF。

读写速度可能因主机设备、读写条件和文件大小的不同而不同。

产品规格和设计可能发生更改,恕不另行通知。

产品图像可以代表设计模型。产品外观可能与实际产品不同。

为避免意外的数据丢失,请经常把您的数据备份在其他存储介质上。铠侠株式会社不对产品中存储的任何数据提供担保。

 

PCIe是PCI-SIG的注册商标。

NVMe 和 NVMe-MI 是NVM Express,Inc.在美国和其他国家/地区的注册或未注册商标。

其他公司名称、产品名称和服务名称可能是第三方公司的商标。

 


]]>
//www.cghlg.com/article/202312/453779.htm Mon, 11 Dec 2023 17:37:36 +0800
<![CDATA[ 使用SIL 2器件设计功能安全的SIL 3模拟输出模块 ]]> 摘要

需要安全完整性等级(SIL) 3解决方案的制造商,在使用SIL 2器件时面临着多项挑战。随着工业功能安全标准IEC 615083版的发布,制造商必须采用新的方法。本文概述了一种能够克服挑战以成功实现SIL 3并加速产品上市的解决方案

 

简介

过去几年,受以下多项因素的驱动,工业功能安全系统开始加速普及:

    制造商希望使用新的复杂技术来降低成本(例如,使用安全扭矩关闭而不是再添加一个接触器)

    实践证明,使用机器人(特别是协作机器人)可以提高许多工厂车间的生产率

    认识到使用安全认证设备可以提高整体可靠性

    确认使用诊断可以提高许多工厂和设备的产量

    引入新的安全要求

另一个驱动因素是对能源、石油和天然气行业提出了严格的要求和监管义务。

在展开详细讨论之前,我们先看一些基本定义,以帮助各类读者更好地理解本文。

 

什么是安全?

安全就是指能避免发生不可接受的风险。例如,工厂车间内未加防护的旋转机器就是不安全的。

 

什么是安全功能?

安全功能是指为实现或确保安全必须执行的操作。安全功能的目的是降低系统风险。例如,如果上述旋转机器的前面安装了光幕,当手穿过光幕时,安全功能将会检测到光束中断,从而在手接触到旋转机器之前使其停止运转。

安全功能通常包括三个子系统。图1的安全系统用于检测危险液体的液位,并在充满时切断液流。

    输入子系统(传感器,如液位传感器)用于检测值或状态

    逻辑子系统(可编程逻辑控制器(PLC))用于判断该状态是否危险

    输出子系统(执行器)可采取行动来确保安全

image.png

1.典型安全功能

 

什么是功能安全?

指系统在需要时执行预期安全功能的可靠性。它能有效地衡量功能安全工程师对光束中断时光幕和电机的停机安全功能会运行的信任度。

如果硬件指标(随机错误)、系统能力(SC)和共因失效(CCF)不会导致安全系统故障、人员伤亡、环境受损或生产损失,则认为该系统功能安全。

除了上述基本安全定义,还需了解设计功能安全系统时必须遵循的一些功能安全标准,及其相关优势。

制造商进行功能安全开发时,遵循IEC 61508ISO 26262等标准,具有以下好处:

     前期需求更清晰

     测试期间较少出错

     软件编写保持一致

     集成过程中发现的缺陷更少

     测试更全面

     现场缺陷更少

     与竞争对手相比,差异化程度更高

安全标准有很多(见图2),其中大部分源自工业IEC 61508标准。值得注意的是,所有标准的90%95%要求都与IEC 61508的要求类似。

 

image.png

2.安全标准

 

本文重点介绍针对工业应用的IEC 61508标准,特别是如何使用SIL 2器件以相同冗余设计SIL 3解决方案。

 

冗余、高可用性和硬件容错

无论系统多么可靠,系统最终都会失效!两种常见的故障类型是系统性故障和随机故障。参见图3

 

image.png

3.系统性故障和随机故障

 

冗余实际上是备用或冗余路径,当安全系统中发生故障时,它能执行预期的安全功能。值得注意的是,系统具有一定程度的冗余,并不意味着同时具有高可用性。只有冗余路径能够自动开启或激活时,它才具有高可用性。IEC 61508中常用的另一个术语是硬件容错(HFT)HFTN意味着至少出现N + 1个故障才可能导致安全功能丧失。需注意一点,不应考虑其他可能控制故障影响的措施,例如诊断。HFT是一种有效的手段,可确保硬件能够抵御故障,同时允许用户权衡HFTSFF。参见表1

 1.硬件容错

 

Safe Failure Fraction of an Element

元件的安全失效比率

Hardware Fault Tolerance

硬件容错

0

0

1

1

2

2

<60%

<60%

Not allowed

不允许

SIL 1

SIL 1

SIL 2

SIL 2

60% to <90%

60%<90%

SIL 1

SIL 1

SIL 2

SIL 2

SIL 3

SIL 3

90% to <99%

90%<99%

SIL 2

SIL 2

SIL 3

SIL 3

SIL 4

SIL 4

≥99%

≥99%

SIL 3

SIL 3

SIL 4

SIL 4

SIL 4

SIL 4

 

安全完整性等级

SIL描述了安全功能的完整性及其提供的降风险能力的相对水平。IEC 61508规定了四级SILSIL 1的安全完整性等级最低,SIL 4的安全完整性等级最高。表2比较了工业IEC 61508安全等级(SIL)、汽车(ISO 26262)安全等级(ASIL)和航空电子安全等级。请注意,这些只是近似比较。

2.各种SIL等级

IEC 61508

IEC 61508

ISO 26262

ISO 26262

Avionics

航空电子

SIL 1

SIL 1

ASIL A

ASIL A

D

D

SIL 2

SIL 2

ASIL B

ASIL B

C

C

SIL 3

SIL 3

ASIL C/D

ASIL C/D

B

B

SIL 4

SIL 4


A

A

随着SIL等级的提高(从SIL 1SIL 4),允许的故障率(FIT)依次降低。1 FIT相当于每运行十亿(1e9)小时发生一次故障。1e9小时约为10万年!有一点要注意,没有任何设备能够持续运行10亿小时,但如果100,000台设备运行一年,在此期间可能会出现一次随机硬件故障。安全失效比率(SFF)是检测到的安全加危险故障总数与安全功能中的故障总数之比。

image.png

3显示了硬件容错为零(HFT = 0)时安全失效比率(SFF)SIL之间的对应关系。

3.SILSFF

 

SIL

SIL

 

SFF

SFF

High Demand Rate Dangerous Failures Per Hour

每小时高需求率危险故障

 

Theoretically Allowed Dangerous Failures

理论上允许的危险故障

1

1

60%

60%

1e–5 (10,000 FIT)

1e–5 (10,000 FIT)

1 dangerous failure every 10 years

10年发生1次危险故障

2

2

90%

90%

1e–6 (1,000 FIT)

1e-6 (1,000 FIT)

1 dangerous failure every 100 years

100年发生1次危险故障

3

3

99%

99%

1e–7 (100 FIT)

1e-7 (100 FIT)

1 dangerous failure every 1,000 years

1,000年发生1次危险故障

 

问题/现有解决方案

对于许多采用功能安全的设计人员而言,尤其是使用IC进行设计时,问题在于获得认证可能很困难且成本高昂,而且还存在非常现实的不合规风险。设计人员必须创建系统级FMEDA,并且必须将ASIC视为黑匣子,因为他们不知道:

    晶体管数量

    内部故障机制

    布局块大小

    IC的可靠性

因此,为了实现总体SIL目标,设计人员在FIT计算中必然会过于保守,在安全系统的其他部分中也会过度确保安全。这通常意味着需要使用外部诊断,例如外部ADC。这样做的问题是:

    更加昂贵(BOM)

    尺寸更大

    更加复杂

    系统软件存在额外开销

    开发时间更长

除了这些问题,新版IEC 61508标准(第3版)的推出进一步加大了困难。

 

IEC 615083

IEC 615083版目前计划的变更包括:明确警告慎用片内诊断来检测同一芯片上的故障,除非IC是按照IEC 61508开发的。它还计划包括类似于汽车ISO 26262潜在故障指标的要求。除了针对诊断功能的SFF之外,诊断电路也会有SC要求。

 

ADFS5758:率先通过认证的数据转换器

ADFS5758 是一款单通道、16位电流输出DAC,集成动态功率控制(DPC),具有内部基准电压源和众多片内诊断功能。 4显示了其功能框图。

 

ADFS5758的诊断/安全措施

    主要片内诊断功能由ADC提供;如前所述,IEC 615083版计划澄清,一般不允许使用片内诊断来检测片内故障,除非IC是按照IEC 61508开发的

    检查有无有效的读/写地址

    ECC校正

    看门狗定时器

    锁定配置寄存器的能力

    内部偏置电压监视器

    温度监控器

旨在满足以下要求

    工业工厂自动化

    过程控制应用

    高密度小尺寸PLC模拟I/O

 

安全功能:

接收数字输入码,产生精度在±2.5%满量程范围(FSR)内的输出电流。

 

根据IEC 61508开发:

    硬件指标达到SIL 2

    系统要求达到SIL 3

5ADFS5758TUV Rheinland功能安全证书副本

image.png

4.ADFS5758框图

 

image.png

5.ADFS5758功能安全证书

 

 6显示使用ADFS5758的典型安全应用。

 

image.png

6.使用ADFS5758的典型应用

 

为使系统满足SIL要求,硬件指标(也称为架构约束)和SC都必须满足SIL目标。

 

架构约束

从硬件指标的角度看,并行放置两个SIL 2元件(相同或不同)可以让客户实现更高的SIL 3等级。参见图7

 

image.png

7.使用两个SIL 2元件实现硬件指标达到SIL 3的解决方案

 

系统能力

冗余可以通过多样化(不同)元件或相同元件来实现。

 

相同元件

使用具有同样SC的相同元件并不能改善整体系统能力,因为它们容易出现相同的类似CCF的温度峰值或压降,并且同一故障可能会导致两个元件同时失效。参见图8

 

image.png

8.使用相同元件不会提高系统能力

 

不同元件

在冗余配置中使用不同的元件可以提高整体系统能力。参见图9

 

image.png

9.使用不同元件可以提高系统能力

 

由于两个元件不相同,所以同一故障不太可能使两个元件同时失效。

但在安全系统中使用不同元件时,相应的设计导入和测试工作量会显著增加,因此这种方法可能成本较高。

理想方法是使用两个相同元件来同时满足功能安全要求的整体能力和随机/硬件指标。

 

开发的系统能力比SIL高一级的重要性:相同冗余

如果系统中可以采用某个元件,并且该系统是按照比元件的SIL高一个等级的系统能力开发的,则可以在安全系统中使用两个相同元件来提供冗余,并提高整体系统能力。示例参见图10

 

image.png

10.使用相同冗余实现SIL 3的示例

 

ADFS5758是按照比硬件指标高一级的系统能力开发的,因此,即使它在硬件指标或随机故障方面只通过了SIL 2认证,也可使用它来设计SIL3模拟输出模块。

 

结语

在安全系统中使用经过认证的ADFS5758可带来许多优势:

    风险更小:满足TÜV要求

    可以使用片内诊断(ADC和分布式诊断)

    解决方案尺寸更小/给定空间中通道更多(由于使用集成ADC

    仅需少量外部元件(可靠性更高)

    针对性的诊断(检测时间更短,覆盖率更高)

    为系统级工程师提供关键数据(FMEDA)

    系统软件的开销更少(软件中的诊断更少)

    提供针对假设环境的可靠性分析

    缩短客户的开发时间

    提供相关文件(安全手册和TÜV评估报告)

    适应未来的IEC 615083版标准

除了上述优势之外,ADFS5758还允许使用SIL 2器件以相同冗余设计SIL 3解决方案。

如希望进一步探索功能安全和ADFS5758

    请访问ADFS5758产品网页 以了解更多信息。

    订购ADFS5758评估套件 以熟悉该器件。

    浏览ADI公司的工业功能安全网页

    阅读ADI公司的安全事项博客 

# # #

关于ADI公司

Analog Devices, Inc. (NASDAQ: ADI)是全球领先的半导体公司,致力于在现实世界与数字世界之间架起桥梁,以实现智能边缘领域的突破性创新。ADI提供结合模拟、数字和软件技术的解决方案,推动数字化工厂、汽车和数字医疗等领域的持续发展,应对气候变化挑战,并建立人与世界万物的可靠互联。ADI公司2023财年收入超过120亿美元,全球员工约2.6万人。携手全球12.5万家客户,ADI助力创新者不断超越一切可能。

 

关于作者

Brian CondellADI公司位于爱尔兰利默里克的工业连接和控制部门的IO-Link®产品应用工程师。Brian 1997年开始在ADI工作。他于2003年毕业于利默里克大学,获得电气工程荣誉学位。他拥有超过25年的半导体行业从业经验,先后担任过多种职位,包括FAB维修技术人员、IC布局工程师、模拟设计工程师、功能安全工程师,以及最近的应用工程师。他是经过TUV Rheinland认证的IEC 61508硬件/软件设计功能安全工程师。


]]>
摘要

需要安全完整性等级(SIL) 3解决方案的制造商,在使用SIL 2器件时面临着多项挑战。随着工业功能安全标准IEC 615083版的发布,制造商必须采用新的方法。本文概述了一种能够克服挑战以成功实现SIL 3并加速产品上市的解决方案

 

简介

过去几年,受以下多项因素的驱动,工业功能安全系统开始加速普及:

    制造商希望使用新的复杂技术来降低成本(例如,使用安全扭矩关闭而不是再添加一个接触器)

    实践证明,使用机器人(特别是协作机器人)可以提高许多工厂车间的生产率

    认识到使用安全认证设备可以提高整体可靠性

    确认使用诊断可以提高许多工厂和设备的产量

    引入新的安全要求

另一个驱动因素是对能源、石油和天然气行业提出了严格的要求和监管义务。

在展开详细讨论之前,我们先看一些基本定义,以帮助各类读者更好地理解本文。

 

什么是安全?

安全就是指能避免发生不可接受的风险。例如,工厂车间内未加防护的旋转机器就是不安全的。

 

什么是安全功能?

安全功能是指为实现或确保安全必须执行的操作。安全功能的目的是降低系统风险。例如,如果上述旋转机器的前面安装了光幕,当手穿过光幕时,安全功能将会检测到光束中断,从而在手接触到旋转机器之前使其停止运转。

安全功能通常包括三个子系统。图1的安全系统用于检测危险液体的液位,并在充满时切断液流。

    输入子系统(传感器,如液位传感器)用于检测值或状态

    逻辑子系统(可编程逻辑控制器(PLC))用于判断该状态是否危险

    输出子系统(执行器)可采取行动来确保安全

image.png

1.典型安全功能

 

什么是功能安全?

指系统在需要时执行预期安全功能的可靠性。它能有效地衡量功能安全工程师对光束中断时光幕和电机的停机安全功能会运行的信任度。

如果硬件指标(随机错误)、系统能力(SC)和共因失效(CCF)不会导致安全系统故障、人员伤亡、环境受损或生产损失,则认为该系统功能安全。

除了上述基本安全定义,还需了解设计功能安全系统时必须遵循的一些功能安全标准,及其相关优势。

制造商进行功能安全开发时,遵循IEC 61508ISO 26262等标准,具有以下好处:

     前期需求更清晰

     测试期间较少出错

     软件编写保持一致

     集成过程中发现的缺陷更少

     测试更全面

     现场缺陷更少

     与竞争对手相比,差异化程度更高

安全标准有很多(见图2),其中大部分源自工业IEC 61508标准。值得注意的是,所有标准的90%95%要求都与IEC 61508的要求类似。

 

image.png

2.安全标准

 

本文重点介绍针对工业应用的IEC 61508标准,特别是如何使用SIL 2器件以相同冗余设计SIL 3解决方案。

 

冗余、高可用性和硬件容错

无论系统多么可靠,系统最终都会失效!两种常见的故障类型是系统性故障和随机故障。参见图3

 

image.png

3.系统性故障和随机故障

 

冗余实际上是备用或冗余路径,当安全系统中发生故障时,它能执行预期的安全功能。值得注意的是,系统具有一定程度的冗余,并不意味着同时具有高可用性。只有冗余路径能够自动开启或激活时,它才具有高可用性。IEC 61508中常用的另一个术语是硬件容错(HFT)HFTN意味着至少出现N + 1个故障才可能导致安全功能丧失。需注意一点,不应考虑其他可能控制故障影响的措施,例如诊断。HFT是一种有效的手段,可确保硬件能够抵御故障,同时允许用户权衡HFTSFF。参见表1

 1.硬件容错

 

Safe Failure Fraction of an Element

元件的安全失效比率

Hardware Fault Tolerance

硬件容错

0

0

1

1

2

2

<60%

<60%

Not allowed

不允许

SIL 1

SIL 1

SIL 2

SIL 2

60% to <90%

60%<90%

SIL 1

SIL 1

SIL 2

SIL 2

SIL 3

SIL 3

90% to <99%

90%<99%

SIL 2

SIL 2

SIL 3

SIL 3

SIL 4

SIL 4

≥99%

≥99%

SIL 3

SIL 3

SIL 4

SIL 4

SIL 4

SIL 4

 

安全完整性等级

SIL描述了安全功能的完整性及其提供的降风险能力的相对水平。IEC 61508规定了四级SILSIL 1的安全完整性等级最低,SIL 4的安全完整性等级最高。表2比较了工业IEC 61508安全等级(SIL)、汽车(ISO 26262)安全等级(ASIL)和航空电子安全等级。请注意,这些只是近似比较。

2.各种SIL等级

IEC 61508

IEC 61508

ISO 26262

ISO 26262

Avionics

航空电子

SIL 1

SIL 1

ASIL A

ASIL A

D

D

SIL 2

SIL 2

ASIL B

ASIL B

C

C

SIL 3

SIL 3

ASIL C/D

ASIL C/D

B

B

SIL 4

SIL 4


A

A

随着SIL等级的提高(从SIL 1SIL 4),允许的故障率(FIT)依次降低。1 FIT相当于每运行十亿(1e9)小时发生一次故障。1e9小时约为10万年!有一点要注意,没有任何设备能够持续运行10亿小时,但如果100,000台设备运行一年,在此期间可能会出现一次随机硬件故障。安全失效比率(SFF)是检测到的安全加危险故障总数与安全功能中的故障总数之比。

image.png

3显示了硬件容错为零(HFT = 0)时安全失效比率(SFF)SIL之间的对应关系。

3.SILSFF

 

SIL

SIL

 

SFF

SFF

High Demand Rate Dangerous Failures Per Hour

每小时高需求率危险故障

 

Theoretically Allowed Dangerous Failures

理论上允许的危险故障

1

1

60%

60%

1e–5 (10,000 FIT)

1e–5 (10,000 FIT)

1 dangerous failure every 10 years

10年发生1次危险故障

2

2

90%

90%

1e–6 (1,000 FIT)

1e-6 (1,000 FIT)

1 dangerous failure every 100 years

100年发生1次危险故障

3

3

99%

99%

1e–7 (100 FIT)

1e-7 (100 FIT)

1 dangerous failure every 1,000 years

1,000年发生1次危险故障

 

问题/现有解决方案

对于许多采用功能安全的设计人员而言,尤其是使用IC进行设计时,问题在于获得认证可能很困难且成本高昂,而且还存在非常现实的不合规风险。设计人员必须创建系统级FMEDA,并且必须将ASIC视为黑匣子,因为他们不知道:

    晶体管数量

    内部故障机制

    布局块大小

    IC的可靠性

因此,为了实现总体SIL目标,设计人员在FIT计算中必然会过于保守,在安全系统的其他部分中也会过度确保安全。这通常意味着需要使用外部诊断,例如外部ADC。这样做的问题是:

    更加昂贵(BOM)

    尺寸更大

    更加复杂

    系统软件存在额外开销

    开发时间更长

除了这些问题,新版IEC 61508标准(第3版)的推出进一步加大了困难。

 

IEC 615083

IEC 615083版目前计划的变更包括:明确警告慎用片内诊断来检测同一芯片上的故障,除非IC是按照IEC 61508开发的。它还计划包括类似于汽车ISO 26262潜在故障指标的要求。除了针对诊断功能的SFF之外,诊断电路也会有SC要求。

 

ADFS5758:率先通过认证的数据转换器

ADFS5758 是一款单通道、16位电流输出DAC,集成动态功率控制(DPC),具有内部基准电压源和众多片内诊断功能。 4显示了其功能框图。

 

ADFS5758的诊断/安全措施

    主要片内诊断功能由ADC提供;如前所述,IEC 615083版计划澄清,一般不允许使用片内诊断来检测片内故障,除非IC是按照IEC 61508开发的

    检查有无有效的读/写地址

    ECC校正

    看门狗定时器

    锁定配置寄存器的能力

    内部偏置电压监视器

    温度监控器

旨在满足以下要求

    工业工厂自动化

    过程控制应用

    高密度小尺寸PLC模拟I/O

 

安全功能:

接收数字输入码,产生精度在±2.5%满量程范围(FSR)内的输出电流。

 

根据IEC 61508开发:

    硬件指标达到SIL 2

    系统要求达到SIL 3

5ADFS5758TUV Rheinland功能安全证书副本

image.png

4.ADFS5758框图

 

image.png

5.ADFS5758功能安全证书

 

 6显示使用ADFS5758的典型安全应用。

 

image.png

6.使用ADFS5758的典型应用

 

为使系统满足SIL要求,硬件指标(也称为架构约束)和SC都必须满足SIL目标。

 

架构约束

从硬件指标的角度看,并行放置两个SIL 2元件(相同或不同)可以让客户实现更高的SIL 3等级。参见图7

 

image.png

7.使用两个SIL 2元件实现硬件指标达到SIL 3的解决方案

 

系统能力

冗余可以通过多样化(不同)元件或相同元件来实现。

 

相同元件

使用具有同样SC的相同元件并不能改善整体系统能力,因为它们容易出现相同的类似CCF的温度峰值或压降,并且同一故障可能会导致两个元件同时失效。参见图8

 

image.png

8.使用相同元件不会提高系统能力

 

不同元件

在冗余配置中使用不同的元件可以提高整体系统能力。参见图9

 

image.png

9.使用不同元件可以提高系统能力

 

由于两个元件不相同,所以同一故障不太可能使两个元件同时失效。

但在安全系统中使用不同元件时,相应的设计导入和测试工作量会显著增加,因此这种方法可能成本较高。

理想方法是使用两个相同元件来同时满足功能安全要求的整体能力和随机/硬件指标。

 

开发的系统能力比SIL高一级的重要性:相同冗余

如果系统中可以采用某个元件,并且该系统是按照比元件的SIL高一个等级的系统能力开发的,则可以在安全系统中使用两个相同元件来提供冗余,并提高整体系统能力。示例参见图10

 

image.png

10.使用相同冗余实现SIL 3的示例

 

ADFS5758是按照比硬件指标高一级的系统能力开发的,因此,即使它在硬件指标或随机故障方面只通过了SIL 2认证,也可使用它来设计SIL3模拟输出模块。

 

结语

在安全系统中使用经过认证的ADFS5758可带来许多优势:

    风险更小:满足TÜV要求

    可以使用片内诊断(ADC和分布式诊断)

    解决方案尺寸更小/给定空间中通道更多(由于使用集成ADC

    仅需少量外部元件(可靠性更高)

    针对性的诊断(检测时间更短,覆盖率更高)

    为系统级工程师提供关键数据(FMEDA)

    系统软件的开销更少(软件中的诊断更少)

    提供针对假设环境的可靠性分析

    缩短客户的开发时间

    提供相关文件(安全手册和TÜV评估报告)

    适应未来的IEC 615083版标准

除了上述优势之外,ADFS5758还允许使用SIL 2器件以相同冗余设计SIL 3解决方案。

如希望进一步探索功能安全和ADFS5758

    请访问ADFS5758产品网页 以了解更多信息。

    订购ADFS5758评估套件 以熟悉该器件。

    浏览ADI公司的工业功能安全网页

    阅读ADI公司的安全事项博客 

# # #

关于ADI公司

Analog Devices, Inc. (NASDAQ: ADI)是全球领先的半导体公司,致力于在现实世界与数字世界之间架起桥梁,以实现智能边缘领域的突破性创新。ADI提供结合模拟、数字和软件技术的解决方案,推动数字化工厂、汽车和数字医疗等领域的持续发展,应对气候变化挑战,并建立人与世界万物的可靠互联。ADI公司2023财年收入超过120亿美元,全球员工约2.6万人。携手全球12.5万家客户,ADI助力创新者不断超越一切可能。

 

关于作者

Brian CondellADI公司位于爱尔兰利默里克的工业连接和控制部门的IO-Link®产品应用工程师。Brian 1997年开始在ADI工作。他于2003年毕业于利默里克大学,获得电气工程荣誉学位。他拥有超过25年的半导体行业从业经验,先后担任过多种职位,包括FAB维修技术人员、IC布局工程师、模拟设计工程师、功能安全工程师,以及最近的应用工程师。他是经过TUV Rheinland认证的IEC 61508硬件/软件设计功能安全工程师。


]]>
//www.cghlg.com/article/202312/453778.htm Mon, 11 Dec 2023 17:32:24 +0800
<![CDATA[ 小心你的密码管理器,安卓新漏洞可能导致密码泄露 ]]> 随着互联网的蓬勃发展,我们每个人所拥有的互联网应用账号越来越多,相对应的所需要记住的密码也就越来越多,所以很多人都会使用密码管理器来辅助保存和填入密码,但最新的研究成果表明安卓的一些密码管理器中存在着漏洞,他们可能会泄露用户数据。

在最近举办的2023 Black Hat Europe大会上,来自IIIT Hyderabad(海得拉巴国际信息技术学院)的研究人员介绍了他们最新发现的名为“AutoSpill”的漏洞,该漏洞可绕过安卓系统的安全自动填充机制,从而导致存储的密码等敏感信息意外泄露。

AutoSpill漏洞存在于应用程序内加载登录页面的自动填充操作期间,对于一个常见的场景,使用WebView控件将网页加载到移动应用程序中,AutoSpill 漏洞会让密码管理器无法准确定位用户需要在哪个框内输入登录信息,从而在底层应用中暴露原生字段,进而泄露密码等敏感信息。(WebView是Google的预装引擎,允许开发人员在应用程序内显示网页内容,而无需启动网页浏览器)

研究发现,即使禁用JavaScript注入,大多数Android密码管理器也很容易受到AutoSpill的攻击,而启用JavaScript注入则会加剧这一问题,测试中包括1Password、LastPass、Keeper和Enpass在内的主流密码管理器都受到了该漏洞的影响。并且在涉及到恶意基础应用程序的情况下,即使合法使用Google或Facebook账号进行应用程序登录,恶意应用程序也可以自动访问敏感信息。

目前,对于此次发现的漏洞,受影响的各个厂商都认可了其有效性,并采取了相关的措施进行解决。与此同时,研究人员表示,未来他们还将在iOS设备上测试该漏洞。


]]>
随着互联网的蓬勃发展,我们每个人所拥有的互联网应用账号越来越多,相对应的所需要记住的密码也就越来越多,所以很多人都会使用密码管理器来辅助保存和填入密码,但最新的研究成果表明安卓的一些密码管理器中存在着漏洞,他们可能会泄露用户数据。

在最近举办的2023 Black Hat Europe大会上,来自IIIT Hyderabad(海得拉巴国际信息技术学院)的研究人员介绍了他们最新发现的名为“AutoSpill”的漏洞,该漏洞可绕过安卓系统的安全自动填充机制,从而导致存储的密码等敏感信息意外泄露。

AutoSpill漏洞存在于应用程序内加载登录页面的自动填充操作期间,对于一个常见的场景,使用WebView控件将网页加载到移动应用程序中,AutoSpill 漏洞会让密码管理器无法准确定位用户需要在哪个框内输入登录信息,从而在底层应用中暴露原生字段,进而泄露密码等敏感信息。(WebView是Google的预装引擎,允许开发人员在应用程序内显示网页内容,而无需启动网页浏览器)

研究发现,即使禁用JavaScript注入,大多数Android密码管理器也很容易受到AutoSpill的攻击,而启用JavaScript注入则会加剧这一问题,测试中包括1Password、LastPass、Keeper和Enpass在内的主流密码管理器都受到了该漏洞的影响。并且在涉及到恶意基础应用程序的情况下,即使合法使用Google或Facebook账号进行应用程序登录,恶意应用程序也可以自动访问敏感信息。

目前,对于此次发现的漏洞,受影响的各个厂商都认可了其有效性,并采取了相关的措施进行解决。与此同时,研究人员表示,未来他们还将在iOS设备上测试该漏洞。


]]>
//www.cghlg.com/article/202312/453777.htm Mon, 11 Dec 2023 17:29:08 +0800
<![CDATA[ 生成式AI亟需高效专用处理器支持创新 ]]> 人工智能(AI)时代已经到来。AI的关键在于硬件和软件的紧密集成,以及性能和计算能力的提升。然而,在数据需求不断增长和功耗限制愈发具有挑战性的双重因素的影响之下,全球的数据中心与网络基础设施正面临着巨大压力。

联网设备和数据量在持续增长,这意味着需要更多的电量与更高的性能。加之摩尔定律的递减,系统级芯片(SoC)设计成本与复杂性持续攀升。因此,业界期待获得高效的专用处理产品,以便进行创新。

日前,在Arm Tech Symposia年度技术大会深圳场上,Arm高级副总裁兼基础设施事业部总经理Mohamed Awad告诉记者,“近年来,Arm已转型为一家计算平台公司,在致力于 IP 授权业务的同时,也专注于通过完整且全面的解决方案,为合作伙伴带来选择的灵活性,帮助他们更快地将产品推向市场,让他们能够把更多的时间和精力集中在开发创新的解决方案上,以提供更多的新产品和解决方案,带来差异化。”

众所周知,中国是Arm非常重要的市场之一。来自中国市场的创新也是Arm不断发展并在业务上取得成功的原因之一。“我们相信,Arm所提供的基础平台能够赋能生态伙伴持续创新,打造出更卓越的产品和解决方案。”他表示,“在中国,很重要的一点就是通过不断努力来加速创新。正是这样的持续创新的精神,牵引着Arm和我们的生态伙伴一起取得了显著成就,并向市场推出更多新的产品。” 

如今,中国合作伙伴基于Arm架构芯片的总出货量已累计达300亿颗。仅中国市场的出货量来看,就能平均为地球上的每个人出货近四颗芯片。目前Arm在中国有近400家技术授权客户。此外,Arm也一直通过与安谋科技合作以进一步助力本土合作伙伴。

Arm为移动、基础设施、物联网,以及汽车领域推出对应的计算平台,可以加速合作伙伴产品上市进程

据Mohamed Awad介绍,Arm近年来推出的Arm全面计算解决方案、Arm Neoverse平台、Arm Corstone以及SOAFEE等,都是Arm的计算平台在市场当中得以广泛应用的例证。“这些平台可以帮助Arm向生态伙伴提供一个完整、集成的解决方案,助力客户快速采用并推出他们的解决方案。”他介绍说。

同时,Arm仍致力于其IP授权业务。“我们希望能为合作伙伴提供更高的自由度,让他们选择最适合自身的方式进行产品开发——我们既可以为合作伙伴提供一个完整的解决方案,帮助他们快速地将产品推向市场,同时他们也可以选用个别的Arm IP,这一切都可根据他们的需求自由选择。这也是Arm的独特之处。”Mohamed Awad指出。

基础设施是Arm独特的亮点之一,能够非常好地诠释Arm的发展,特别是目前针对于人工智能(AI)正在发生的一些变化。“对于Arm来说,我们深信只有创新才能不断推动技术的进步。”Mohamed Awad补充道。

基础设施领域中的传统系统架构

如上图所示,在传统的服务器系统架构中,左边是内存,然后内存连接到一个通用的现成CPU,这个CPU又负责管理多个加速器。“这样的传统架构是过去市场上唯一可用的架构,但这个架构的问题在于,这个通用的现成CPU以及加速器之间的接口直接限制了产品最终的性能水平,因为所有的加速器都必须要通过一个CPU访问额外的内存,这样就无法达到内存的一致性,也就意味着加速器的性能无法被充分利用,也就无法很好地支持生成式AI时代的需求。”Mohamed Awad指出。

面向新的应用需求,基础设施领域出现了现代化的系统架构

现在有了现代化的系统架构,以其中一种为例(见上图)。每一个CPU都可以单独和一个加速器相连,会有较强的内存一致性。“借助这样的架构,设计方才能更好地根据用户的实际场景和用例进行CPU设计,从而将加速器的使用效率提到最高。只有具体了解最终用例是什么,并且根据你的使用场景针对性地设计CPU,才能获得更好的效率,才能达到产品最佳的表现性能。在这种情况之下,只有通过这样一个新的架构设计,才能更好地支持未来基础设施的市场需求,包括生成式AI。”Mohamed Awad强调。

NVIDIA GH200 Grace Hopper超级芯片采用Arm Neoverse搭配NVIDIA GPU,满足AI性能的需求

实际上,这个架构正是NVIDIA GH200 Grace Hopper超级芯片所使用的架构。“NVIDIA携手Arm,正是因为希望借助Arm技术带来的灵活性,设计出他们所需要的芯片以进一步优化系统,同时能充分利用Arm强大的软件生态系统。在这样的架构当中,72颗Arm Neoverse核心,加上来自NVIDIA的GPU的组合,其AI性能较基于x86架构的系统提升了10倍。”Mohamed Awad透露。

为什么超大规模云服务商如亚马逊云服务(AWS)、阿里巴巴都要做自己的CPU设计,而且都选择和Arm合作呢?其实答案非常简单,通过和Arm合作,他们能够根据自己的用例和基础设施来打造并优化解决方案,Mohamed Awad解释道。

从超大规模云服务商到初创企业,在Arm平台上赋能创新

“和NVIDIA的合作让我们深以为傲。与此同时,我们也非常高兴能够和中国本地的厂商合作。可以看到,阿里巴巴的倚天710就是基于Arm Neoverse平台打造的CPU。另外像鸿钧微电子、遇贤微电子以及云豹智能,这三家都是来自中国的本土初创企业,他们都基于Arm平台分别打造服务器SoC解决方案与云计算和数据中心的DPU解决方案。而联想则更多地是将基于Arm架构的解决方案部署到5G领域。所以可以看到,通过携手中国本土的强有力的合作伙伴,我们将在中国进一步地推动Neoverse的发展。”

Arm Neoverse计算子系统 (CSS)

现在,Arm又推出了进一步的举措来帮助客户加速部署基于Neoverse平台的系统。Arm Neoverse计算子系统(CSS)能够提供完整的子系统,而Arm的合作伙伴可以直接采用,也可按需选用,从而加速产品的上市进程。

据了解,相较于独立的IP,CSS将促使Arm生态系统通过更低的成本、更少的风险以及更短的时间,打造出专用芯片。

作为Arm Neoverse CSS第一代产品,Arm Neoverse CSS N2集成了Neoverse N2平台,并通过验证配置优化了功耗、性能与面积(PPA)。Neoverse CSS N2可发挥Neoverse N2平台的每瓦性能与效率,基于先进的5nm工艺进行优化,并通过可定制化的计算子系统形式交付给合作伙伴,达到加速上市时间的成效。这将开创全新且更高的创新自由度,既可依现况加以利用,或进行额外的定制,为合作伙伴提供在存储、IO、加速、实体拓扑,及其它领域实现差异化的机会。而这些都是追求工作负载优化的定制芯片解决方案。

通过Neoverse CSS,合作伙伴的工程资源将可聚焦于SoC与系统级的创新。在一家合作伙伴的案例中,Neoverse CSS已经助力他们的项目从概念到落实(在超过100个核心的系统上启动Linux)仅耗时13个月。另一家合作伙伴通过Neoverse CSS节省了超过80人/年的工程师时间。同时它还赋能包括AI在内的特定领域专用加速器的集成,并为云原生工作负载带来市场领先的每瓦性能表现。

Arm生态系统的独特之处在于驱动定制芯片变革的能力。若要充分利用专用处理带来的效益,所采用的架构必须能提供灵活性,以便进行创新,并实现多样化且差异化的解决方案,同时还要能持续获取强大的软件生态系统。灵活性与专用化一向是Arm的核心宗旨,也是Arm Neoverse的设计理念,这些都与Arm数十年的软件投资以及Arm架构的精心研发相匹配。

Arm的合作伙伴利用定制化与标准化之间的独特平衡,完成多项业界创举,包括第一款内存总带宽超过每秒1TB的CPU、第一款配置超过100个核心的CPU,以及第一款引入DDR5与PCIe Gen5技术的CPU。从无线网络到云计算等各个关键行业市场,这些创新正在加速增长势头。

Neoverse CSS的推出是Arm的一项技术里程碑,同时也是Arm提供Neoverse平台形式的另一项革新。它借助降低设计成本与加速上市时间,确保更多的合作伙伴能取得定制芯片。Neoverse CSS开启了基础设施领域中定制芯片的新时代,同时也扩展了Arm Neoverse的产品组合,为Arm生态系统提供可信的CSS,协助生态伙伴专注于差异化与创新上。采用Arm Neoverse的设计在过去几年所展现的成效,正在重新定义基础设施;而通过CSS的推出,将有更多的合作伙伴能利用Arm的技术打造定制化的基础设施。

“总而言之,通过Neoverse CSS能够帮助我们的合作伙伴进一步降低投资,加速整个生态系统对我们解决方案的可访问性,同时加速合作伙伴产品的上市时间。”Mohamed Awad表示。

微软Azure Cobalt 100

此外,近期微软发布的Cobalt 100 CPU,就是基于Neoverse CSS设计的。也有中国的合作伙伴正在基于CSS进行开发。

Arm全面设计生态项目助力合作伙伴加速基于Neoverse CSS的芯片开发

在Neoverse CSS的基础之上,Arm还推出了Arm全面设计(Arm Total Design),进一步结合生态系统的力量,简化定制芯片的开发流程,并且让交付变得更加容易便捷。Arm全面设计目前也进一步提高了Neoverse CSS生态系统中可供合作伙伴使用的可能性,能够帮助合作伙伴进一步加速他们的解决方案开发。这也就意味着,不管是专用集成电路(ASIC)设计公司、IP供应商、EDA工具提供商、代工厂和固件开发厂商,Arm都能进一步支持和帮助他们的产品研发。

“我们一直致力于助力合作伙伴加速开发、部署和创新,持续协助我们的合作伙伴构建未来的计算解决方案,从传统的边缘走向基于Arm平台的数据中心,我们助力伙伴进一步加速产品的上市时间,简化开发的流程,并将更多的精力投资在创新上。”Mohamed Awad总结道。


]]>
人工智能(AI)时代已经到来。AI的关键在于硬件和软件的紧密集成,以及性能和计算能力的提升。然而,在数据需求不断增长和功耗限制愈发具有挑战性的双重因素的影响之下,全球的数据中心与网络基础设施正面临着巨大压力。

联网设备和数据量在持续增长,这意味着需要更多的电量与更高的性能。加之摩尔定律的递减,系统级芯片(SoC)设计成本与复杂性持续攀升。因此,业界期待获得高效的专用处理产品,以便进行创新。

日前,在Arm Tech Symposia年度技术大会深圳场上,Arm高级副总裁兼基础设施事业部总经理Mohamed Awad告诉记者,“近年来,Arm已转型为一家计算平台公司,在致力于 IP 授权业务的同时,也专注于通过完整且全面的解决方案,为合作伙伴带来选择的灵活性,帮助他们更快地将产品推向市场,让他们能够把更多的时间和精力集中在开发创新的解决方案上,以提供更多的新产品和解决方案,带来差异化。”

众所周知,中国是Arm非常重要的市场之一。来自中国市场的创新也是Arm不断发展并在业务上取得成功的原因之一。“我们相信,Arm所提供的基础平台能够赋能生态伙伴持续创新,打造出更卓越的产品和解决方案。”他表示,“在中国,很重要的一点就是通过不断努力来加速创新。正是这样的持续创新的精神,牵引着Arm和我们的生态伙伴一起取得了显著成就,并向市场推出更多新的产品。” 

如今,中国合作伙伴基于Arm架构芯片的总出货量已累计达300亿颗。仅中国市场的出货量来看,就能平均为地球上的每个人出货近四颗芯片。目前Arm在中国有近400家技术授权客户。此外,Arm也一直通过与安谋科技合作以进一步助力本土合作伙伴。

Arm为移动、基础设施、物联网,以及汽车领域推出对应的计算平台,可以加速合作伙伴产品上市进程

据Mohamed Awad介绍,Arm近年来推出的Arm全面计算解决方案、Arm Neoverse平台、Arm Corstone以及SOAFEE等,都是Arm的计算平台在市场当中得以广泛应用的例证。“这些平台可以帮助Arm向生态伙伴提供一个完整、集成的解决方案,助力客户快速采用并推出他们的解决方案。”他介绍说。

同时,Arm仍致力于其IP授权业务。“我们希望能为合作伙伴提供更高的自由度,让他们选择最适合自身的方式进行产品开发——我们既可以为合作伙伴提供一个完整的解决方案,帮助他们快速地将产品推向市场,同时他们也可以选用个别的Arm IP,这一切都可根据他们的需求自由选择。这也是Arm的独特之处。”Mohamed Awad指出。

基础设施是Arm独特的亮点之一,能够非常好地诠释Arm的发展,特别是目前针对于人工智能(AI)正在发生的一些变化。“对于Arm来说,我们深信只有创新才能不断推动技术的进步。”Mohamed Awad补充道。

基础设施领域中的传统系统架构

如上图所示,在传统的服务器系统架构中,左边是内存,然后内存连接到一个通用的现成CPU,这个CPU又负责管理多个加速器。“这样的传统架构是过去市场上唯一可用的架构,但这个架构的问题在于,这个通用的现成CPU以及加速器之间的接口直接限制了产品最终的性能水平,因为所有的加速器都必须要通过一个CPU访问额外的内存,这样就无法达到内存的一致性,也就意味着加速器的性能无法被充分利用,也就无法很好地支持生成式AI时代的需求。”Mohamed Awad指出。

面向新的应用需求,基础设施领域出现了现代化的系统架构

现在有了现代化的系统架构,以其中一种为例(见上图)。每一个CPU都可以单独和一个加速器相连,会有较强的内存一致性。“借助这样的架构,设计方才能更好地根据用户的实际场景和用例进行CPU设计,从而将加速器的使用效率提到最高。只有具体了解最终用例是什么,并且根据你的使用场景针对性地设计CPU,才能获得更好的效率,才能达到产品最佳的表现性能。在这种情况之下,只有通过这样一个新的架构设计,才能更好地支持未来基础设施的市场需求,包括生成式AI。”Mohamed Awad强调。

NVIDIA GH200 Grace Hopper超级芯片采用Arm Neoverse搭配NVIDIA GPU,满足AI性能的需求

实际上,这个架构正是NVIDIA GH200 Grace Hopper超级芯片所使用的架构。“NVIDIA携手Arm,正是因为希望借助Arm技术带来的灵活性,设计出他们所需要的芯片以进一步优化系统,同时能充分利用Arm强大的软件生态系统。在这样的架构当中,72颗Arm Neoverse核心,加上来自NVIDIA的GPU的组合,其AI性能较基于x86架构的系统提升了10倍。”Mohamed Awad透露。

为什么超大规模云服务商如亚马逊云服务(AWS)、阿里巴巴都要做自己的CPU设计,而且都选择和Arm合作呢?其实答案非常简单,通过和Arm合作,他们能够根据自己的用例和基础设施来打造并优化解决方案,Mohamed Awad解释道。

从超大规模云服务商到初创企业,在Arm平台上赋能创新

“和NVIDIA的合作让我们深以为傲。与此同时,我们也非常高兴能够和中国本地的厂商合作。可以看到,阿里巴巴的倚天710就是基于Arm Neoverse平台打造的CPU。另外像鸿钧微电子、遇贤微电子以及云豹智能,这三家都是来自中国的本土初创企业,他们都基于Arm平台分别打造服务器SoC解决方案与云计算和数据中心的DPU解决方案。而联想则更多地是将基于Arm架构的解决方案部署到5G领域。所以可以看到,通过携手中国本土的强有力的合作伙伴,我们将在中国进一步地推动Neoverse的发展。”

Arm Neoverse计算子系统 (CSS)

现在,Arm又推出了进一步的举措来帮助客户加速部署基于Neoverse平台的系统。Arm Neoverse计算子系统(CSS)能够提供完整的子系统,而Arm的合作伙伴可以直接采用,也可按需选用,从而加速产品的上市进程。

据了解,相较于独立的IP,CSS将促使Arm生态系统通过更低的成本、更少的风险以及更短的时间,打造出专用芯片。

作为Arm Neoverse CSS第一代产品,Arm Neoverse CSS N2集成了Neoverse N2平台,并通过验证配置优化了功耗、性能与面积(PPA)。Neoverse CSS N2可发挥Neoverse N2平台的每瓦性能与效率,基于先进的5nm工艺进行优化,并通过可定制化的计算子系统形式交付给合作伙伴,达到加速上市时间的成效。这将开创全新且更高的创新自由度,既可依现况加以利用,或进行额外的定制,为合作伙伴提供在存储、IO、加速、实体拓扑,及其它领域实现差异化的机会。而这些都是追求工作负载优化的定制芯片解决方案。

通过Neoverse CSS,合作伙伴的工程资源将可聚焦于SoC与系统级的创新。在一家合作伙伴的案例中,Neoverse CSS已经助力他们的项目从概念到落实(在超过100个核心的系统上启动Linux)仅耗时13个月。另一家合作伙伴通过Neoverse CSS节省了超过80人/年的工程师时间。同时它还赋能包括AI在内的特定领域专用加速器的集成,并为云原生工作负载带来市场领先的每瓦性能表现。

Arm生态系统的独特之处在于驱动定制芯片变革的能力。若要充分利用专用处理带来的效益,所采用的架构必须能提供灵活性,以便进行创新,并实现多样化且差异化的解决方案,同时还要能持续获取强大的软件生态系统。灵活性与专用化一向是Arm的核心宗旨,也是Arm Neoverse的设计理念,这些都与Arm数十年的软件投资以及Arm架构的精心研发相匹配。

Arm的合作伙伴利用定制化与标准化之间的独特平衡,完成多项业界创举,包括第一款内存总带宽超过每秒1TB的CPU、第一款配置超过100个核心的CPU,以及第一款引入DDR5与PCIe Gen5技术的CPU。从无线网络到云计算等各个关键行业市场,这些创新正在加速增长势头。

Neoverse CSS的推出是Arm的一项技术里程碑,同时也是Arm提供Neoverse平台形式的另一项革新。它借助降低设计成本与加速上市时间,确保更多的合作伙伴能取得定制芯片。Neoverse CSS开启了基础设施领域中定制芯片的新时代,同时也扩展了Arm Neoverse的产品组合,为Arm生态系统提供可信的CSS,协助生态伙伴专注于差异化与创新上。采用Arm Neoverse的设计在过去几年所展现的成效,正在重新定义基础设施;而通过CSS的推出,将有更多的合作伙伴能利用Arm的技术打造定制化的基础设施。

“总而言之,通过Neoverse CSS能够帮助我们的合作伙伴进一步降低投资,加速整个生态系统对我们解决方案的可访问性,同时加速合作伙伴产品的上市时间。”Mohamed Awad表示。

微软Azure Cobalt 100

此外,近期微软发布的Cobalt 100 CPU,就是基于Neoverse CSS设计的。也有中国的合作伙伴正在基于CSS进行开发。

Arm全面设计生态项目助力合作伙伴加速基于Neoverse CSS的芯片开发

在Neoverse CSS的基础之上,Arm还推出了Arm全面设计(Arm Total Design),进一步结合生态系统的力量,简化定制芯片的开发流程,并且让交付变得更加容易便捷。Arm全面设计目前也进一步提高了Neoverse CSS生态系统中可供合作伙伴使用的可能性,能够帮助合作伙伴进一步加速他们的解决方案开发。这也就意味着,不管是专用集成电路(ASIC)设计公司、IP供应商、EDA工具提供商、代工厂和固件开发厂商,Arm都能进一步支持和帮助他们的产品研发。

“我们一直致力于助力合作伙伴加速开发、部署和创新,持续协助我们的合作伙伴构建未来的计算解决方案,从传统的边缘走向基于Arm平台的数据中心,我们助力伙伴进一步加速产品的上市时间,简化开发的流程,并将更多的精力投资在创新上。”Mohamed Awad总结道。


]]>
//www.cghlg.com/article/202312/453776.htm Mon, 11 Dec 2023 17:25:28 +0800
<![CDATA[ 尼康推出全新ArF浸没式光刻机,精度小于2.1纳米 ]]> 据EDN电子技术设计报道,尼康宣布将于2024年1月正式推出ArF 193纳米浸没式光刻机“NSR-S636E”,生产效率、套刻精度都进一步提升。

据悉,尼康这款曝光机采用增强型iAS设计,可用于高精度测量、圆翘曲和畸变校正,重叠精度(MMO)更高,号称不超过2.1纳米。

分辨率小于38纳米,镜头孔径1.35,曝光面积为26x33毫米。


对比当前型号,它的整体生产效率可提高10-15%,创下尼康光刻设备的新高,每小时可生产280片晶圆,停机时间也更短。

尼康还表示,在不牺牲生产效率的前提下,新光刻机可在需要高重叠精度的半导体制造中提供更高的性能,尤其是先进逻辑和內存、CMOS图像传感器、3D闪存等3D半导体制造,堪称最佳解决方案。

另据了解,新光刻机的光源技术是20世纪90年代就已经成熟的“i-line”,再加上相关零件、技术的成熟化,价格将比竞品便宜20-30%左右。

不过,目前尚不清楚尼康这款光刻机能制造多少纳米的芯片。

据EDN了解,ArF光刻机也就是DUV光刻机,光源波长达到193nm,波长的限制使得DUV无法实现更高的分辨率,因此DUV只能用于制造7nm及以上制程的芯片。

目前尼康主推ArF浸没式技术,大部分精力都在Arf和i-line光刻机领域。尼康曾表示:随着小型化的进展,达到了阻止现有光刻技术处理较小尺寸的理论障碍,这个问题的解决方案是浸入式光刻技术,尼康将其整合到其半导体光刻系统中。

尼康常务执行董事滨谷正人曾断言,“ArF液浸作为尖端曝光装置使用的电路尺寸是主战场”。


]]>
据EDN电子技术设计报道,尼康宣布将于2024年1月正式推出ArF 193纳米浸没式光刻机“NSR-S636E”,生产效率、套刻精度都进一步提升。

据悉,尼康这款曝光机采用增强型iAS设计,可用于高精度测量、圆翘曲和畸变校正,重叠精度(MMO)更高,号称不超过2.1纳米。

分辨率小于38纳米,镜头孔径1.35,曝光面积为26x33毫米。


对比当前型号,它的整体生产效率可提高10-15%,创下尼康光刻设备的新高,每小时可生产280片晶圆,停机时间也更短。

尼康还表示,在不牺牲生产效率的前提下,新光刻机可在需要高重叠精度的半导体制造中提供更高的性能,尤其是先进逻辑和內存、CMOS图像传感器、3D闪存等3D半导体制造,堪称最佳解决方案。

另据了解,新光刻机的光源技术是20世纪90年代就已经成熟的“i-line”,再加上相关零件、技术的成熟化,价格将比竞品便宜20-30%左右。

不过,目前尚不清楚尼康这款光刻机能制造多少纳米的芯片。

据EDN了解,ArF光刻机也就是DUV光刻机,光源波长达到193nm,波长的限制使得DUV无法实现更高的分辨率,因此DUV只能用于制造7nm及以上制程的芯片。

目前尼康主推ArF浸没式技术,大部分精力都在Arf和i-line光刻机领域。尼康曾表示:随着小型化的进展,达到了阻止现有光刻技术处理较小尺寸的理论障碍,这个问题的解决方案是浸入式光刻技术,尼康将其整合到其半导体光刻系统中。

尼康常务执行董事滨谷正人曾断言,“ArF液浸作为尖端曝光装置使用的电路尺寸是主战场”。


]]>
//www.cghlg.com/article/202312/453775.htm Mon, 11 Dec 2023 17:19:13 +0800
<![CDATA[ 巡航控制系统的使用方法 ]]>

巡航控制系统,也被称为速度控制系统,是一种通过电子技术,在一定的车速范围内,驾驶员不用控制加速踏板,而能保证汽车以设定的速度稳定行驶的一种电子控制装置。这种系统可以大大减轻驾驶员的疲劳程度,提高行驶时的稳定性、安全性、舒适性和燃料经济性。那么,巡航控制系统到底如何使用呢?本文将为您详细解答。

首先,我们需要知道巡航控制系统的组成。巡航控制系统主要由指令开关、传感器、ECU(电子控制单元)和执行器组成。ECU有两个信号输入,一个是驾驶员按要求设定的指令速度信号,一个是实际行车中车速的反馈信号。控制器检测到这两个输入信号间的误差后,产生一个送至油门执行器的油门控制信号,从而使油门执行器根据油门控制信号来调节发动机油门的开度,以修正电子式控制装置所检测到的误差,从而使车速保持恒定。

其次,我们需要了解巡航控制系统的基本功能。具体来说,这种巡航控制系统有巡航定速、巡航加速、巡航减速等功能。

巡航定速:将控制手柄开关拨到ON位置后,即可在40公里/小时以上的任何速度,按住(SET/ACC)键1秒钟设定巡航车速,进入巡航状态(无需踩油门,车辆即可按设定的速度巡航)。

巡航加速:在巡航状态下,每按住(SET/ACC)键半秒钟可以增加时速1公里。也可一直按住(SET/ACC)键,车速会自动缓缓提升,直至适合的速度再松开按键。此外,在定速巡航状态下可以直接踩油门加速,当松开油门后,车速将缓缓回复到先前设定的巡航速度。

巡航减速:在巡航状态下,每按住(RES/DEC)键半秒钟可以降低时速1公里。也可一直按住(RES/DEC)键,车速会自动缓缓下降,直至适合的速度再松开按键。

定速解除:在巡航状态下,轻轻踩下制动,便可解除定速。

定速恢复:解除定速后,只要按住(RES/DEC)键1秒钟,不用踩油门,车速即可自动恢复到定速解除之前的巡航速度。

巡航开关:(1)巡航开/关开关:用于开启或关闭巡航功能。原先记录的目标巡航车速将在巡航功能关闭后丢失。(2)巡航设置/减少开关:用于开启巡航车速设置功能或减少目标巡航车速。开关具有机械自恢复功能。(3)巡航恢复/增加开关:用于开启巡航车速恢复功能或增加目标巡航车速。开关具有机械自恢复功能。(4)每隔50ms,BCM(车身控制器)都检测并记录巡航开关状态。

总的来说,巡航控制系统是一种非常实用的驾驶辅助系统,可以帮助驾驶员更轻松地驾驭车辆,提高驾驶的舒适性和安全性。


]]>

巡航控制系统,也被称为速度控制系统,是一种通过电子技术,在一定的车速范围内,驾驶员不用控制加速踏板,而能保证汽车以设定的速度稳定行驶的一种电子控制装置。这种系统可以大大减轻驾驶员的疲劳程度,提高行驶时的稳定性、安全性、舒适性和燃料经济性。那么,巡航控制系统到底如何使用呢?本文将为您详细解答。

首先,我们需要知道巡航控制系统的组成。巡航控制系统主要由指令开关、传感器、ECU(电子控制单元)和执行器组成。ECU有两个信号输入,一个是驾驶员按要求设定的指令速度信号,一个是实际行车中车速的反馈信号。控制器检测到这两个输入信号间的误差后,产生一个送至油门执行器的油门控制信号,从而使油门执行器根据油门控制信号来调节发动机油门的开度,以修正电子式控制装置所检测到的误差,从而使车速保持恒定。

其次,我们需要了解巡航控制系统的基本功能。具体来说,这种巡航控制系统有巡航定速、巡航加速、巡航减速等功能。

巡航定速:将控制手柄开关拨到ON位置后,即可在40公里/小时以上的任何速度,按住(SET/ACC)键1秒钟设定巡航车速,进入巡航状态(无需踩油门,车辆即可按设定的速度巡航)。

巡航加速:在巡航状态下,每按住(SET/ACC)键半秒钟可以增加时速1公里。也可一直按住(SET/ACC)键,车速会自动缓缓提升,直至适合的速度再松开按键。此外,在定速巡航状态下可以直接踩油门加速,当松开油门后,车速将缓缓回复到先前设定的巡航速度。

巡航减速:在巡航状态下,每按住(RES/DEC)键半秒钟可以降低时速1公里。也可一直按住(RES/DEC)键,车速会自动缓缓下降,直至适合的速度再松开按键。

定速解除:在巡航状态下,轻轻踩下制动,便可解除定速。

定速恢复:解除定速后,只要按住(RES/DEC)键1秒钟,不用踩油门,车速即可自动恢复到定速解除之前的巡航速度。

巡航开关:(1)巡航开/关开关:用于开启或关闭巡航功能。原先记录的目标巡航车速将在巡航功能关闭后丢失。(2)巡航设置/减少开关:用于开启巡航车速设置功能或减少目标巡航车速。开关具有机械自恢复功能。(3)巡航恢复/增加开关:用于开启巡航车速恢复功能或增加目标巡航车速。开关具有机械自恢复功能。(4)每隔50ms,BCM(车身控制器)都检测并记录巡航开关状态。

总的来说,巡航控制系统是一种非常实用的驾驶辅助系统,可以帮助驾驶员更轻松地驾驭车辆,提高驾驶的舒适性和安全性。


]]>
//www.cghlg.com/article/202312/453774.htm Mon, 11 Dec 2023 17:12:01 +0800
<![CDATA[ 英特尔展示下一代晶体管微缩技术突破 将用于未来制程节点 ]]> 2023129日,英特尔在IEDM 20232023 IEEE 国际电子器件会议)上展示了多项技术突破,为其未来的制程路线图提供了丰富的创新技术储备,充分说明了摩尔定律仍在不断演进。具体而言,英特尔研究人员在大会上展示了结合背面供电和直接背面触点(direct backside contacts)的3D堆叠CMOS晶体管,分享了近期背面供电研发突破的扩展路径(如背面触点),并率先在同一块300毫米晶圆上,而非封装中,成功实现了硅晶体管与氮化镓(GaN)晶体管的大规模单片3D集成。

 

英特尔公司高级副总裁兼组件研究总经理Sanjay Natarajan表示:我们正在进入制程技术的埃米时代,展望四年五个制程节点计划实现后的未来,持续创新比以往任何时候都更加重要。在IEDM 2023上,英特尔展示了继续推进摩尔定律的研究进展,这显示了

我们有能力面向下一代移动计算需求,开发实现晶体管进一步微缩和高能效比供电的前沿技术。

 

晶体管微缩和背面供电是满足世界对更强大算力指数级增长需求的关键。一直以来,英特尔始终致力于满足算力需求,表明其技术创新将继续推动半导体行业发展,也仍然是摩尔定律的“基石”。英特尔组件研究团队不断拓展工程技术的边界,包括晶体管堆叠,背面供电技术的提升(有助于晶体管的进一步微缩和性能提升),以及将不同材料制成的晶体管集成在同一晶圆上。

 

英特尔近期在制程技术路线图上的诸多进展,包括PowerVia背面供电技术、用于先进封装的玻璃基板和Foveros Direct,彰显了英特尔正在通过技术创新不断微缩晶体管。这些创新技术均源自英特尔组件研究团队,预计将在2030年前投产。

 

IEDM 2023上,英特尔组件研究团队同样展示了其在技术创新上的持续投入,以在实现性能提升的同时,在硅上集成更多晶体管。研究人员确定了所需的关键研发领域,旨在通过高效堆叠晶体管继续实现微缩。结合背面供电和背面触点,这些技术将意味着晶体管架构技术的重大进步。随着背面供电技术的完善和新型2D通道材料的采用,英特尔正致力于继续推进摩尔定律,在2030年前实现在单个封装内集成一万亿个晶体管。

 

英特尔实现了业界领先的、突破性的3D堆叠CMOS晶体管,结合了背面供电和背面触点技术:

 

● 英特尔在IEDM 2023上展示了业界领先的最新晶体管研究成果,能够以微缩至60纳米的栅极间距垂直地堆叠互补场效应晶体管(CFET)。该技术可通过晶体管堆叠提升面积效率(area efficiency)和性能优势,还结合了背面供电和直接背面触点。该技术彰显了英特尔在GAA(全环绕栅极)晶体管领域的领先地位,展示了英特尔在RibbonFET之外的创新能力,从而能够领先竞争。

image.png

 

超越其“四年五个制程节点”计划,以背面供电技术继续微缩晶体管,英特尔确定了所需的关键研发领域:

 

● 英特尔的PowerVia将于2024年生产准备就绪,率先实现背面供电。英特尔组件研究团队在IEDM 2023上发表的研究明确了超越PowerVia,进一步拓展背面供电技术的路径,及所需的关键工艺进展。此外,该研究还强调了对背面触点和其它新型垂直互联技术的采用,从而以较高的面积效率堆叠器件。

 

英特尔率先在同一块300毫米晶圆上成功集成硅晶体管和氮化镓晶体管,且性能良好:

 

● 在IEDM 2022上,英特尔聚焦于性能提升,以及为实现300毫米硅基氮化镓(GaN-on-silicon)晶圆开辟一条可行的路径。今年,英特尔在硅和氮化镓的工艺集成方面取得了进展,成功实现了一种高性能、大规模的集成电路供电解决方案,名为“DrGaN”。英特尔的研究人员率先在这一技术领域实现了良好的性能,有望让供电解决方案满足未来计算对功率密度和能效的需求。

 

英特尔推进2D晶体管领域的研发工作,以使其在未来继续按照摩尔定律的节奏微缩下去:

 

● 过渡金属二硫属化物(TMD, Transition metal dichalcogenide2D通道材料让晶体管物理栅极长度有机会微缩到10纳米以下。在IEDM 2023上,英特尔将展示高迁移率(high-mobility)的过渡金属二硫属化物晶体管原型,用于NMOSn型金属氧化物半导体)和PMOSp型金属氧化物半导体)这两大CMOS关键组件。此外,英特尔还将展示其率先实现的两项技术:GAA 2D过渡金属二硫属化物PMOS晶体管和在300毫米晶圆上制造的2D晶体管。


]]>
2023129日,英特尔在IEDM 20232023 IEEE 国际电子器件会议)上展示了多项技术突破,为其未来的制程路线图提供了丰富的创新技术储备,充分说明了摩尔定律仍在不断演进。具体而言,英特尔研究人员在大会上展示了结合背面供电和直接背面触点(direct backside contacts)的3D堆叠CMOS晶体管,分享了近期背面供电研发突破的扩展路径(如背面触点),并率先在同一块300毫米晶圆上,而非封装中,成功实现了硅晶体管与氮化镓(GaN)晶体管的大规模单片3D集成。

 

英特尔公司高级副总裁兼组件研究总经理Sanjay Natarajan表示:我们正在进入制程技术的埃米时代,展望四年五个制程节点计划实现后的未来,持续创新比以往任何时候都更加重要。在IEDM 2023上,英特尔展示了继续推进摩尔定律的研究进展,这显示了

我们有能力面向下一代移动计算需求,开发实现晶体管进一步微缩和高能效比供电的前沿技术。

 

晶体管微缩和背面供电是满足世界对更强大算力指数级增长需求的关键。一直以来,英特尔始终致力于满足算力需求,表明其技术创新将继续推动半导体行业发展,也仍然是摩尔定律的“基石”。英特尔组件研究团队不断拓展工程技术的边界,包括晶体管堆叠,背面供电技术的提升(有助于晶体管的进一步微缩和性能提升),以及将不同材料制成的晶体管集成在同一晶圆上。

 

英特尔近期在制程技术路线图上的诸多进展,包括PowerVia背面供电技术、用于先进封装的玻璃基板和Foveros Direct,彰显了英特尔正在通过技术创新不断微缩晶体管。这些创新技术均源自英特尔组件研究团队,预计将在2030年前投产。

 

IEDM 2023上,英特尔组件研究团队同样展示了其在技术创新上的持续投入,以在实现性能提升的同时,在硅上集成更多晶体管。研究人员确定了所需的关键研发领域,旨在通过高效堆叠晶体管继续实现微缩。结合背面供电和背面触点,这些技术将意味着晶体管架构技术的重大进步。随着背面供电技术的完善和新型2D通道材料的采用,英特尔正致力于继续推进摩尔定律,在2030年前实现在单个封装内集成一万亿个晶体管。

 

英特尔实现了业界领先的、突破性的3D堆叠CMOS晶体管,结合了背面供电和背面触点技术:

 

● 英特尔在IEDM 2023上展示了业界领先的最新晶体管研究成果,能够以微缩至60纳米的栅极间距垂直地堆叠互补场效应晶体管(CFET)。该技术可通过晶体管堆叠提升面积效率(area efficiency)和性能优势,还结合了背面供电和直接背面触点。该技术彰显了英特尔在GAA(全环绕栅极)晶体管领域的领先地位,展示了英特尔在RibbonFET之外的创新能力,从而能够领先竞争。

image.png

 

超越其“四年五个制程节点”计划,以背面供电技术继续微缩晶体管,英特尔确定了所需的关键研发领域:

 

● 英特尔的PowerVia将于2024年生产准备就绪,率先实现背面供电。英特尔组件研究团队在IEDM 2023上发表的研究明确了超越PowerVia,进一步拓展背面供电技术的路径,及所需的关键工艺进展。此外,该研究还强调了对背面触点和其它新型垂直互联技术的采用,从而以较高的面积效率堆叠器件。

 

英特尔率先在同一块300毫米晶圆上成功集成硅晶体管和氮化镓晶体管,且性能良好:

 

● 在IEDM 2022上,英特尔聚焦于性能提升,以及为实现300毫米硅基氮化镓(GaN-on-silicon)晶圆开辟一条可行的路径。今年,英特尔在硅和氮化镓的工艺集成方面取得了进展,成功实现了一种高性能、大规模的集成电路供电解决方案,名为“DrGaN”。英特尔的研究人员率先在这一技术领域实现了良好的性能,有望让供电解决方案满足未来计算对功率密度和能效的需求。

 

英特尔推进2D晶体管领域的研发工作,以使其在未来继续按照摩尔定律的节奏微缩下去:

 

● 过渡金属二硫属化物(TMD, Transition metal dichalcogenide2D通道材料让晶体管物理栅极长度有机会微缩到10纳米以下。在IEDM 2023上,英特尔将展示高迁移率(high-mobility)的过渡金属二硫属化物晶体管原型,用于NMOSn型金属氧化物半导体)和PMOSp型金属氧化物半导体)这两大CMOS关键组件。此外,英特尔还将展示其率先实现的两项技术:GAA 2D过渡金属二硫属化物PMOS晶体管和在300毫米晶圆上制造的2D晶体管。


]]>
//www.cghlg.com/article/202312/453773.htm Mon, 11 Dec 2023 17:09:48 +0800
<![CDATA[ 英飞凌扩展ISOFACE产品组合,为工业和汽车应用提供四通道数字隔离器 ]]> 2023128日,德国慕尼黑讯】汽车和工业应用中的现代电子系统需要具备强大、高效且精准的数据通信能力,以确保最佳性能。为满足这些需求,英飞凌科技股份公司(FSE代码:IFX / OTCQX代码:IFNNY)宣布推出 ISOFACE™ 四通道数字隔离器,进一步扩大其广泛的隔离技术和产品组合。

image.png

ISOFACE™ 四通道数字隔离器

 

ISOFACE 四通道数字隔离器分为两类:获得AEC-Q100认证的 ISOFACETM 4DIRx4xxHA 系列产品专门用于车载充电器OBC)、电池管理系统BMS)、逆变器、电机控制等汽车应用;符合JEDEC标准的ISOFACE 4DIRx4xxH 系列产品则专门用于可再生能源、服务器电信工业开关模式电源SMPS)、工业自动化、隔离串行外设接口(SPI)等工业应用。这些产品均采用300 mil PG-DSO-16宽体封装,且有四个数据通道,可提供更强大的隔离能力,确保在苛刻的环境中也能进行可靠的数据通信。

 

ISOFACE 四通道数字隔离器的工作电源电压范围为2.7 6.5 V。虽然有如此宽的电源电压范围,这些数字隔离器仍然十分省电,在 3.3 V 电源电压和15 pF 负载电容下以高达1 Mbps 的速度运行时,每个通道的最大电流消耗仅为1.6 mA。此外,英飞凌稳健的无芯变压器(CT)技术还能提供很强的系统抗噪能力(共模瞬态抗扰度至少为100 kV/µs),并且能够承受高达 5700 Vrms 的隔离电压。

 

ISOFACE 数字隔离器坚固耐用,特别适合在极端温度条件等具有挑战性的棘手环境中工作。其中,面向汽车应用的隔离器能够在 I 级环境温度条件下有效工作,可承受低至-40°C和高至+125°C 的温度,充分体现出它们的耐用性。这些隔离器能够应对各种环境挑战,如电压瞬变、电磁干扰(EMI)、静电放电(ESD)、电气干扰等,确保了性能的稳定、可靠。由于传播延迟低、通道间失配极小,因此它们具有精确的定时性能,可降低损坏风险并保证数据的完整性。此外,其引脚之间相互兼容,增加了电源的稳定性,进而提高了整个系统的可靠性。

 

这些隔离器善于在宽电源电压范围内最大限度地降低信号噪声,其精确的定时性能和兼容性有助于实现高功率密度的设计。半导体元器件级和系统级认证简化了安全认证过程并加快了产品上市速度,使得 ISOFACE 数字隔离器成为稳健、高效电子系统的理想选择。


]]>
2023128日,德国慕尼黑讯】汽车和工业应用中的现代电子系统需要具备强大、高效且精准的数据通信能力,以确保最佳性能。为满足这些需求,英飞凌科技股份公司(FSE代码:IFX / OTCQX代码:IFNNY)宣布推出 ISOFACE™ 四通道数字隔离器,进一步扩大其广泛的隔离技术和产品组合。

image.png

ISOFACE™ 四通道数字隔离器

 

ISOFACE 四通道数字隔离器分为两类:获得AEC-Q100认证的 ISOFACETM 4DIRx4xxHA 系列产品专门用于车载充电器OBC)、电池管理系统BMS)、逆变器、电机控制等汽车应用;符合JEDEC标准的ISOFACE 4DIRx4xxH 系列产品则专门用于可再生能源、服务器电信工业开关模式电源SMPS)、工业自动化、隔离串行外设接口(SPI)等工业应用。这些产品均采用300 mil PG-DSO-16宽体封装,且有四个数据通道,可提供更强大的隔离能力,确保在苛刻的环境中也能进行可靠的数据通信。

 

ISOFACE 四通道数字隔离器的工作电源电压范围为2.7 6.5 V。虽然有如此宽的电源电压范围,这些数字隔离器仍然十分省电,在 3.3 V 电源电压和15 pF 负载电容下以高达1 Mbps 的速度运行时,每个通道的最大电流消耗仅为1.6 mA。此外,英飞凌稳健的无芯变压器(CT)技术还能提供很强的系统抗噪能力(共模瞬态抗扰度至少为100 kV/µs),并且能够承受高达 5700 Vrms 的隔离电压。

 

ISOFACE 数字隔离器坚固耐用,特别适合在极端温度条件等具有挑战性的棘手环境中工作。其中,面向汽车应用的隔离器能够在 I 级环境温度条件下有效工作,可承受低至-40°C和高至+125°C 的温度,充分体现出它们的耐用性。这些隔离器能够应对各种环境挑战,如电压瞬变、电磁干扰(EMI)、静电放电(ESD)、电气干扰等,确保了性能的稳定、可靠。由于传播延迟低、通道间失配极小,因此它们具有精确的定时性能,可降低损坏风险并保证数据的完整性。此外,其引脚之间相互兼容,增加了电源的稳定性,进而提高了整个系统的可靠性。

 

这些隔离器善于在宽电源电压范围内最大限度地降低信号噪声,其精确的定时性能和兼容性有助于实现高功率密度的设计。半导体元器件级和系统级认证简化了安全认证过程并加快了产品上市速度,使得 ISOFACE 数字隔离器成为稳健、高效电子系统的理想选择。


]]>
//www.cghlg.com/article/202312/453772.htm Mon, 11 Dec 2023 17:05:12 +0800
<![CDATA[ ​半导体材料市场——2024年将有更好的发展 ]]> 尽管2023年经济下滑,但材料需求和市场增长仍在上升。加利福尼亚州圣地亚哥:TECHCET——一家提供半导体供应链业务和技术信息的电子材料咨询公司——宣布,预计2024年半导体材料市场将反弹,增长近7%,达到740亿美元。由于整体半导体行业放缓和晶圆开工量下降,2023年市场收缩了3.3%,之后出现了反弹。展望未来,预计2023年至2027年半导体材料市场将以超过5%的复合年增长率增长。到2027年,TECHCET预计市场将达到870亿美元或以上,新的全球晶圆厂产量增加将带来潜在的更大市场规模。

微信图片_20231211155758.png

尽管2023年的放缓缓解了供应限制,但随着全球新晶圆厂的增加,预计2024300毫米晶圆、外延晶圆、一些特种气体以及铜合金靶材的供应将再次紧张。供应紧缩的程度将取决于材料供应商扩张的延迟。

如果材料/化学品的生产能力跟不上晶圆厂的扩张,强劲的需求增长可能会使供应链紧张。TECHCET一直在追踪美国高纯度化学品的生产可用性,并确定了几个需要进口来支持需求的领域。

除了全球晶圆厂扩张外,随着层数接近5xxL,新的器件技术将推动材料市场的增长,因为全栅场效应晶体管(GAA-FET)、3D DRAM3D NAND需要新材料和额外的工艺步骤。这些材料包括EPI/硅锗专用气体、EUV光刻胶和显影剂、CVDALD前驱体、CMP耗材和清洁化学品(包括高选择性氮化物蚀刻)等。

随着晶圆厂扩大产能,其他挥之不去的供应链限制和潜在的瓶颈也可能导致问题。例如,中国和美国之间的地缘政治问题开始给锗和镓供应链带来压力,而由于中国在这些材料上的重大利益,稀土供应的风险正在加剧。

美国的另一个担忧是监管问题可能会限制材料供应的扩张。监管许可可能会增加扩张项目的时间和成本。此外,政府对EHS危害的监管可能会将PFAS材料监管为不存在,迫使材料供应商开发替代品,这将需要时间和资格。


]]>
尽管2023年经济下滑,但材料需求和市场增长仍在上升。加利福尼亚州圣地亚哥:TECHCET——一家提供半导体供应链业务和技术信息的电子材料咨询公司——宣布,预计2024年半导体材料市场将反弹,增长近7%,达到740亿美元。由于整体半导体行业放缓和晶圆开工量下降,2023年市场收缩了3.3%,之后出现了反弹。展望未来,预计2023年至2027年半导体材料市场将以超过5%的复合年增长率增长。到2027年,TECHCET预计市场将达到870亿美元或以上,新的全球晶圆厂产量增加将带来潜在的更大市场规模。

微信图片_20231211155758.png

尽管2023年的放缓缓解了供应限制,但随着全球新晶圆厂的增加,预计2024300毫米晶圆、外延晶圆、一些特种气体以及铜合金靶材的供应将再次紧张。供应紧缩的程度将取决于材料供应商扩张的延迟。

如果材料/化学品的生产能力跟不上晶圆厂的扩张,强劲的需求增长可能会使供应链紧张。TECHCET一直在追踪美国高纯度化学品的生产可用性,并确定了几个需要进口来支持需求的领域。

除了全球晶圆厂扩张外,随着层数接近5xxL,新的器件技术将推动材料市场的增长,因为全栅场效应晶体管(GAA-FET)、3D DRAM3D NAND需要新材料和额外的工艺步骤。这些材料包括EPI/硅锗专用气体、EUV光刻胶和显影剂、CVDALD前驱体、CMP耗材和清洁化学品(包括高选择性氮化物蚀刻)等。

随着晶圆厂扩大产能,其他挥之不去的供应链限制和潜在的瓶颈也可能导致问题。例如,中国和美国之间的地缘政治问题开始给锗和镓供应链带来压力,而由于中国在这些材料上的重大利益,稀土供应的风险正在加剧。

美国的另一个担忧是监管问题可能会限制材料供应的扩张。监管许可可能会增加扩张项目的时间和成本。此外,政府对EHS危害的监管可能会将PFAS材料监管为不存在,迫使材料供应商开发替代品,这将需要时间和资格。


]]>
//www.cghlg.com/article/202312/453769.htm Mon, 11 Dec 2023 16:00:28 +0800
<![CDATA[ 比赛计分系统设计 ]]> 实验任务
  • 任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成比赛计分系统设计并观察调试结果
  • 要求:按动核心板独立按键,驱动底板上8位数码管为比赛双方在0~999内计分。
  • 解析:FPGA驱动独立按键,当按动两队加分按键时,控制两队分数调整,最后通过驱动底板上的数码管电路将得分值显示在数码管上。

在基础数字电路实验部分我们已经掌握了FPGA驱动独立按键的原理及方法,控制数码管显示十进制数的BCD码方案前面也多次介绍,本实验主要学习数码管扫描显示的原理及方法。

  • 熟悉独立按键驱动模块的应用
  • 了解数码管扫描显示的原理及方法
  • 了解74HC595的工作原理及驱动方法
  • 完成比赛计分系统设计实现

根据前面的实验解析我们可以得知,该设计可以拆分成三个功能模块实现,

  • Debounce:通过驱动独立按键工作获取操作信息数据。
  • Counter:根据按键的操作信息控制双方比赛分值调整。
  • Segment_scan:通过驱动串转并芯片74HC595控制数码管扫描显示比赛分值。

顶层模块Game_Score通过实例化三个子模块并将对应的信号连接,最终实现比赛计分系统的总体设计。

Top-Down层次设计

模块结构设计

数码管连接方式

在前面之前的教程中数码管章节已为大家介绍了数码管独立显示的相关内容,关于独立显示这里就不在赘述。我们的实验平台的扩展板卡上有8位数码管,根据驱动方法不同,有以下比较:

独立显示数码管

独立显示:控制每个数码管至少需要8个I/O口控制,8位数码管就需要8*8 = 64根信号线才能分别显示。独立显示实现简单,但是需要大量的信号线。

扫描显示数码管

扫描显示:将每位数码管的同一段选信号连接在一起,这样我们就只需要8根段选信号和8根位选信号,共计16根信号。扫描显示可以有效节约I/O口资源,实现起来稍显复杂。

上图中我们用了4位数码管,共用了段选控制8+位选控制4=12管脚,如果是8位数码管,按照上面方式连接,段选控制还是8管脚,位选控制也增加到8管脚,共计16管脚,当然硬件的连接还需要结合软件的驱动,扫描显示数码管的驱动方法和独立显示数码管驱动方法不同,接下来我们一起来学习。

数码管模块电路连接

数码管连接方式部分我们了解到数码管常用的两种连接方式,独立显示数码管的原理及驱动方法我们在基础数字电路实验部分就已经详细学习了,本实验我们来学习扫描显示数码管工作原理及驱动方法。

前面我们说8位数码管通过扫描显示方式连接需要16管脚,对于大部分控制器件来说依然有点多,所以我们需要一种串行通信控制并行输出的驱动器,处理器通过串行接口(引脚占用少)驱动驱动器完成16或更多信号的控制,74HC595就是这么一款驱动器。通过3路串行输入(兼容SPI协议)控制8路并行输出,而且可以级联使用。

74HC595驱动数码管电路

数码管模块驱动设计

我们知道数码管分位共阴极和共阳极,我们底板上使用的就是8位共阴极数码管,驱动数码管显示需要字库,方便程序中通过数据索引对应字库,在基础数字电路实验部分我们已经学习过。

7段共阴极数码管字库定义如下:

reg[6:0] seg [15:0]; 
always @(negedge rst_n) begin
	seg[0]	=	7'h3f;   // 0
	seg[1]	=	7'h06;   // 1
	seg[2]	=	7'h5b;   // 2
	seg[3]	=	7'h4f;   // 3
	seg[4]	=	7'h66;   // 4
	seg[5]	=	7'h6d;   // 5
	seg[6]	=	7'h7d;   // 6
	seg[7]	=	7'h07;   // 7
	seg[8]	=	7'h7f;   // 8
	seg[9]	=	7'h6f;   // 9
	seg[10]	=	7'h77;   // A
	seg[11]	=	7'h7c;   // b
	seg[12]	=	7'h39;   // C
	seg[13]	=	7'h5e;   // d
	seg[14]	=	7'h79;   // E
	seg[15]	=	7'h71;   // F
	end

数码管显示需要段选(a b c d e f g)输出字库数据,位选(dig)输出选通信号,前面硬件电路连接部分看到8位数码管的段选全部对应连在了一起,好像不能同时显示8个不同的数字,是的,我们理解的没错,想要扫描显示数码管工作需要采用分时扫描的方式驱动。怎么样分时扫描?

  • 首先我们考虑怎么样让第1个数码管显示数字1,FPGA控制数码管段选端输出1的字库7'h06(G=0、F=0、E=0、D=0、C=1、B=1、A=0),同时控制数码管位选端只选通第1个数码管显示(DIG1=0、DIG2~DIG8都为1)
  • 然后如果我们有8秒的时间,第1秒时间内控制第1个数码管显示数字1,第2秒时间内控制第2个数码管显示数字2,依次类推,这样我们就可以在8秒时间内将8个不同数字分时显示出来
  • 最后我们将8秒的时间变成8毫秒,每个数码管显示1毫秒,这样我们在1秒时间内将8个数字显示(扫描)125次,即刷新率为125次/秒,人眼睛有视觉暂留效应,当数码管闪烁刷新频率足够高(例如125)时,我们看到的是8个数码管同时显示。

以上描述的就是扫描显示的数码管的工作原理及驱动方法

// dat_1[3:0]   //SEG1 显示的数据输入
......
// dat_8[3:0]   //SEG8 显示的数据输入
// dat_en[7:0]  //数码管数据位显示使能,[MSB~LSB]=[SEG1~SEG8]
// dot_en[7:0]  //数码管小数点位显示使能,[MSB~LSB]=[SEG1~SEG8]
// data[15:0]    //数码管扫描控制数据,[15:8]为段选,[7:0]为位选
MAIN:begin
	cnt_main <= cnt_main + 1'b1;
	state <= WRITE;		//在配置完发给74HC595的数据同时跳转至WRITE状态,完成串行时序
	case(cnt_main)
		//对8位数码管逐位扫描
		//data          [15:8]为段选,         [7:0]为位选
		3'd0: data <= {{dot_en[7],seg[dat_1]},dat_en[7]?8'hfe:8'hff};
		3'd1: data <= {{dot_en[6],seg[dat_2]},dat_en[6]?8'hfd:8'hff}; 
		3'd2: data <= {{dot_en[5],seg[dat_3]},dat_en[5]?8'hfb:8'hff}; 
		3'd3: data <= {{dot_en[4],seg[dat_4]},dat_en[4]?8'hf7:8'hff}; 
		3'd4: data <= {{dot_en[3],seg[dat_5]},dat_en[3]?8'hef:8'hff};
		3'd5: data <= {{dot_en[2],seg[dat_6]},dat_en[2]?8'hdf:8'hff}; 
		3'd6: data <= {{dot_en[1],seg[dat_7]},dat_en[1]?8'hbf:8'hff}; 
		3'd7: data <= {{dot_en[0],seg[dat_8]},dat_en[0]?8'h7f:8'hff}; 
		default: data <= {8'h00,8'hff};
	endcase
	end

如果不考虑74HC595驱动芯片,FPGA直接连接数码管模块的段选和位选信号,data作为输出端口分配给段选和位选控制管脚,程序到这里差不多就OK了,然而为了节约IO管脚资源的占用,我们电路里有串转并的驱动芯片74HC595,所以我们还需要增加程序设计,将data的数据通过串行的方式传输到74HC595芯片,然后74HC595芯片将会根据data的内容控制数码管模块显示。

74HC595是较为常用的串行转并行的芯片,内部集成了一个8位移位寄存器、一个存储器和8个三态缓冲输出。在最简单的情况下我们只需要控制3根引脚输入得到8根引脚并行输出信号,而且可以级联使用,我们使用3个I/O口控制两个级联的74HC595芯片,产生16路并行输出,连接到扫描显示的8位数码管上。

不同的IC厂家都可以生产74HC595芯片,功能都是一样的,然而不同厂家的芯片手册对于管脚的命名会存在差异,管脚顺序相同,大家可以对应识别 上图是本设计中74HC595芯片的硬件电路连接,参考74HC595数据手册了解其具体用法,下图中我们了解到OE#(G#)和MR#(SCLR#)信号分别为输出使能(低电平输出)和复位管脚(低电平复位),OE#(G#)我们接GND让芯片输出使能,MR#(SCLR#)我们接VCC让芯片的移位寄存器永远不复位,如此FPGA只需要控制SHCP(SCK)、STCP(RCK)和DS(SER)即可。

74HC595引脚功能描述

74HC595内部结构图

74HC595时序图

根据74HC595内部结构及时序图可以得知,SHCP(SCK)每个上升沿都会将DS(SER)的数据采样到8位移位寄存器中,当STCP(RCK)上升沿时,8位移位寄存器中的数据被所存到8位锁存器中,同时对应Q0~Q7管脚刷新对应输出。对于我们的硬件,我们首先通过SHCP(SCK)和DS(SER)配合将需要传输的16位数据输出,然后控制STCP(RCK)产生上升沿,所以我们需要至少16个SH_CP(SCK)周期完成1次数码管控制。

74HC595串行驱动 程序实现如下:

//seg_rck     //74HC595的RCK管脚
//seg_sck     //74HC595的SCK管脚
//seg_din     //74HC595的SER管脚
WRITE:begin
	if(cnt_write >= 6'd33) cnt_write <= 1'b0;
	else cnt_write <= cnt_write + 1'b1;
	case(cnt_write)
		//74HC595是串行转并行的芯片,3路输入可产生8路输出,而且可以级联使用
		//74HC595的时序实现,参考74HC595的芯片手册
		6'd0:  begin seg_sck <= LOW; 
		seg_din <= data[15]; 
		end		//SCK下降沿时SER更新数据
		6'd1:  begin seg_sck <= HIGH; 
		end				//SCK上升沿时SER数据稳定
		6'd2:  begin seg_sck <= LOW; 
		seg_din <= data[14]; end
		6'd3:  begin seg_sck <= HIGH; 
		end
		6'd4:  begin seg_sck <= LOW; 
		seg_din <= data[13]; 
		end
		6'd5:  begin seg_sck <= HIGH; 
		end
		6'd6:  begin seg_sck <= LOW; 
		seg_din <= data[12]; 
		end
		6'd7:  begin seg_sck <= HIGH; 
		end
		6'd8:  begin seg_sck <= LOW; 
		seg_din <= data[11]; end
		6'd9:  begin seg_sck <= HIGH; 
		end
		6'd10: begin seg_sck <= LOW; 
		seg_din <= data[10]; 
		end
		6'd11: begin seg_sck <= HIGH; 
		end
		6'd12: begin seg_sck <= LOW; 
		seg_din <= data[9]; end
		6'd13: begin seg_sck <= HIGH; 
		end
		6'd14: begin seg_sck <= LOW; 
		seg_din <= data[8]; 
		end
		6'd15: begin seg_sck <= HIGH; 
		end
		6'd16: begin seg_sck <= LOW; 
		seg_din <= data[7]; end
		6'd17: begin seg_sck <= HIGH; 
		end
		6'd18: begin seg_sck <= LOW; 
		seg_din <= data[6]; end
		6'd19: begin seg_sck <= HIGH; 
		end
		6'd20: begin seg_sck <= LOW; 
		seg_din <= data[5]; end
		6'd21: begin seg_sck <= HIGH; 
		end
		6'd22: begin seg_sck <= LOW; 
		seg_din <= data[4]; end
		6'd23: begin seg_sck <= HIGH; 
		end
		6'd24: begin seg_sck <= LOW; 
		seg_din <= data[3]; end
		6'd25: begin seg_sck <= HIGH; 
		end
		6'd26: begin seg_sck <= LOW; 
		seg_din <= data[2]; end
		6'd27: begin seg_sck <= HIGH; 
		end
		6'd28: begin seg_sck <= LOW; 
		seg_din <= data[1]; 
		end
		6'd29: begin seg_sck <= HIGH; 
		end
		6'd30: begin seg_sck <= LOW; 
		seg_din <= data[0]; 
		end
		6'd31: begin seg_sck <= HIGH; 
		end
		6'd32: begin seg_rck <= HIGH; 
		end			//当16位数据传送完成后RCK拉高,输出生效
		6'd33: begin seg_rck <= LOW; 
		state <= MAIN; 
		end
		default: ;
	endcase
	end

如果我们设计一个状态机,将数码管扫描的程序和74HC595串行驱动程序分别做成两个状态MAIN和WRITE,控制数码管扫描程序每次产生一组控制数据,执行一次74HC595串行通信,就可以完成我们数码管模块电路的驱动设计了。

状态机设计框架

8位数码管刷新1次需要8个数码管各点亮1次,每个数码管点亮1次需要16位数据,16位数据通过串行方式传输给74HC595需要至少16个SHCP(SCK)周期,按照我们前面说的数码管刷新率达到125次/秒,扫描方式下每个数码管会点亮1毫秒,数码管点亮的时间应该等于1次数码管控制的时间,也就是16个SHCP(SCK)周期,所以我们可以控制74HC595的SHCP(SCK)时钟周期计算: SHCP(SCK)周期 = 1ms / 16 = 62.5us 即是说,当 SHCP(SCK)周期小于62.5us,刷新率就应该大于125次/秒(注:以上为估算的结果) 为了计算方便,我们就取SHCP(SCK)周期为50us,那么触发74HC595串行驱动执行的敏感变量周期应该为25us,我们可以通过分频产生周期为25us时钟触发完成以上所以操作。

时钟分频程序实现如下:

//计数器对系统时钟信号进行计数
reg [9:0] cnt = 1'b0;
always@(posedge clk or negedge rst_n) begin
	if(!rst_n) cnt <= 1'b0;
	else if(cnt>=(CNT_40KHz-1)) cnt <= 1'b0;
	else cnt <= cnt + 1'b1;
	end //根据计数器计数的周期产生分频的脉冲信号
	reg clk_40khz = 1'b0; 
	always@(posedge clk or negedge rst_n) begin
	if(!rst_n) clk_40khz <= 1'b0;
	else if(cnt<(CNT_40KHz>>1)) clk_40khz <= 1'b0;
	else clk_40khz <= 1'b1;
	end

状态机状态转移图

系统总体实现

按键消抖模块我们前面基础数字电路实验中详细介绍过,这里我们直接调用消抖模块,记分器逻辑部分其实就是对按键按动次数计数,输出0~999之间的BCD码制数据,这里也不再赘述,最后例化数码管模块将两队的比分数据显示出来。最后显示的数据为000~999,本实验例程中为了显示最小有效数据位,增加了将最高位为0的数据位不显示的设计,例如当分数为5分时,数码管本来会显示005,现在控制高两位的00不显示,只显示最低位5。

显示控制程序实现如下:

wire	[7:0]	dat_en;		//控制数码管点亮
assign	dat_en[7] = 1'b0;
assign	dat_en[6] = red_seg[11:8]? 1'b1:1'b0;
assign	dat_en[5] = red_seg[11:4]? 1'b1:1'b0;
assign	dat_en[4] = 1'b1; 
assign	dat_en[3] = 1'b0;
assign	dat_en[2] = blue_seg[11:8]? 1'b1:1'b0;
assign	dat_en[1] = blue_seg[11:4]? 1'b1:1'b0;
assign	dat_en[0] = 1'b1;

数码管显示模块例化 程序实现如下:

//segment_scan display module
Segment_scan u4
(
.clk					(clk			),	//系统时钟 12MHz
.rst_n					(rst_n			),	//系统复位 低有效
.dat_1					(0				),	//SEG1 显示的数据输入
.dat_2					(red_seg[11:8]	),	//SEG2 显示的数据输入
.dat_3					(red_seg[7:4]	),	//SEG3 显示的数据输入
.dat_4					(red_seg[3:0]	),	//SEG4 显示的数据输入
.dat_5					(0				),	//SEG5 显示的数据输入
.dat_6					(blue_seg[11:8]	),	//SEG6 显示的数据输入
.dat_7					(blue_seg[7:4]	),	//SEG7 显示的数据输入
.dat_8					(blue_seg[3:0]	),	//SEG8 显示的数据输入
.dat_en					(dat_en			),	//数码管数据位显示使能,[MSB~LSB]=[SEG1~SEG8]
.dot_en					(8'b0001_0001	),	//数码管小数点位显示使能,[MSB~LSB]=[SEG1~SEG8]
.seg_rck				(seg_rck		),	//74HC595的RCK管脚
.seg_sck				(seg_sck		),	//74HC595的SCK管脚
.seg_din				(seg_din		)	//74HC595的SER管脚);

综合后的设计框图如下:

RTL设计框图

实验步骤

  1. 双击打开Quartus Prime工具软件;
  2. 新建工程:File → New Project Wizard(工程命名,工程目录选择,设备型号选择,EDA工具选择);
  3. 新建文件:File → New → Verilog HDL File,键入设计代码并保存;
  4. 设计综合:双击Tasks窗口页面下的Analysis & Synthesis对代码进行综合;
  5. 管脚约束:Assignments → Assignment Editor,根据项目需求分配管脚;
  6. 设计编译:双击Tasks窗口页面下的Compile Design对设计进行整体编译并生成配置文件;
  7. 程序烧录:点击Tools → Programmer打开配置工具,Program进行下载;
  8. 观察设计运行结果。

将程序加载到FPGA开发平台,底板数码管左边4位为红队比分,右边4位为蓝队比分,初始都为0分,核心板K3按键为红队加分按键,核心板K4按键为蓝队加分按键,按动K3、K4按键,观察红队和蓝队比分变化。

]]>
实验任务
  • 任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成比赛计分系统设计并观察调试结果
  • 要求:按动核心板独立按键,驱动底板上8位数码管为比赛双方在0~999内计分。
  • 解析:FPGA驱动独立按键,当按动两队加分按键时,控制两队分数调整,最后通过驱动底板上的数码管电路将得分值显示在数码管上。

在基础数字电路实验部分我们已经掌握了FPGA驱动独立按键的原理及方法,控制数码管显示十进制数的BCD码方案前面也多次介绍,本实验主要学习数码管扫描显示的原理及方法。

  • 熟悉独立按键驱动模块的应用
  • 了解数码管扫描显示的原理及方法
  • 了解74HC595的工作原理及驱动方法
  • 完成比赛计分系统设计实现

根据前面的实验解析我们可以得知,该设计可以拆分成三个功能模块实现,

  • Debounce:通过驱动独立按键工作获取操作信息数据。
  • Counter:根据按键的操作信息控制双方比赛分值调整。
  • Segment_scan:通过驱动串转并芯片74HC595控制数码管扫描显示比赛分值。

顶层模块Game_Score通过实例化三个子模块并将对应的信号连接,最终实现比赛计分系统的总体设计。

Top-Down层次设计

模块结构设计

数码管连接方式

在前面之前的教程中数码管章节已为大家介绍了数码管独立显示的相关内容,关于独立显示这里就不在赘述。我们的实验平台的扩展板卡上有8位数码管,根据驱动方法不同,有以下比较:

独立显示数码管

独立显示:控制每个数码管至少需要8个I/O口控制,8位数码管就需要8*8 = 64根信号线才能分别显示。独立显示实现简单,但是需要大量的信号线。

扫描显示数码管

扫描显示:将每位数码管的同一段选信号连接在一起,这样我们就只需要8根段选信号和8根位选信号,共计16根信号。扫描显示可以有效节约I/O口资源,实现起来稍显复杂。

上图中我们用了4位数码管,共用了段选控制8+位选控制4=12管脚,如果是8位数码管,按照上面方式连接,段选控制还是8管脚,位选控制也增加到8管脚,共计16管脚,当然硬件的连接还需要结合软件的驱动,扫描显示数码管的驱动方法和独立显示数码管驱动方法不同,接下来我们一起来学习。

数码管模块电路连接

数码管连接方式部分我们了解到数码管常用的两种连接方式,独立显示数码管的原理及驱动方法我们在基础数字电路实验部分就已经详细学习了,本实验我们来学习扫描显示数码管工作原理及驱动方法。

前面我们说8位数码管通过扫描显示方式连接需要16管脚,对于大部分控制器件来说依然有点多,所以我们需要一种串行通信控制并行输出的驱动器,处理器通过串行接口(引脚占用少)驱动驱动器完成16或更多信号的控制,74HC595就是这么一款驱动器。通过3路串行输入(兼容SPI协议)控制8路并行输出,而且可以级联使用。

74HC595驱动数码管电路

数码管模块驱动设计

我们知道数码管分位共阴极和共阳极,我们底板上使用的就是8位共阴极数码管,驱动数码管显示需要字库,方便程序中通过数据索引对应字库,在基础数字电路实验部分我们已经学习过。

7段共阴极数码管字库定义如下:

reg[6:0] seg [15:0]; 
always @(negedge rst_n) begin
	seg[0]	=	7'h3f;   // 0
	seg[1]	=	7'h06;   // 1
	seg[2]	=	7'h5b;   // 2
	seg[3]	=	7'h4f;   // 3
	seg[4]	=	7'h66;   // 4
	seg[5]	=	7'h6d;   // 5
	seg[6]	=	7'h7d;   // 6
	seg[7]	=	7'h07;   // 7
	seg[8]	=	7'h7f;   // 8
	seg[9]	=	7'h6f;   // 9
	seg[10]	=	7'h77;   // A
	seg[11]	=	7'h7c;   // b
	seg[12]	=	7'h39;   // C
	seg[13]	=	7'h5e;   // d
	seg[14]	=	7'h79;   // E
	seg[15]	=	7'h71;   // F
	end

数码管显示需要段选(a b c d e f g)输出字库数据,位选(dig)输出选通信号,前面硬件电路连接部分看到8位数码管的段选全部对应连在了一起,好像不能同时显示8个不同的数字,是的,我们理解的没错,想要扫描显示数码管工作需要采用分时扫描的方式驱动。怎么样分时扫描?

  • 首先我们考虑怎么样让第1个数码管显示数字1,FPGA控制数码管段选端输出1的字库7'h06(G=0、F=0、E=0、D=0、C=1、B=1、A=0),同时控制数码管位选端只选通第1个数码管显示(DIG1=0、DIG2~DIG8都为1)
  • 然后如果我们有8秒的时间,第1秒时间内控制第1个数码管显示数字1,第2秒时间内控制第2个数码管显示数字2,依次类推,这样我们就可以在8秒时间内将8个不同数字分时显示出来
  • 最后我们将8秒的时间变成8毫秒,每个数码管显示1毫秒,这样我们在1秒时间内将8个数字显示(扫描)125次,即刷新率为125次/秒,人眼睛有视觉暂留效应,当数码管闪烁刷新频率足够高(例如125)时,我们看到的是8个数码管同时显示。

以上描述的就是扫描显示的数码管的工作原理及驱动方法

// dat_1[3:0]   //SEG1 显示的数据输入
......
// dat_8[3:0]   //SEG8 显示的数据输入
// dat_en[7:0]  //数码管数据位显示使能,[MSB~LSB]=[SEG1~SEG8]
// dot_en[7:0]  //数码管小数点位显示使能,[MSB~LSB]=[SEG1~SEG8]
// data[15:0]    //数码管扫描控制数据,[15:8]为段选,[7:0]为位选
MAIN:begin
	cnt_main <= cnt_main + 1'b1;
	state <= WRITE;		//在配置完发给74HC595的数据同时跳转至WRITE状态,完成串行时序
	case(cnt_main)
		//对8位数码管逐位扫描
		//data          [15:8]为段选,         [7:0]为位选
		3'd0: data <= {{dot_en[7],seg[dat_1]},dat_en[7]?8'hfe:8'hff};
		3'd1: data <= {{dot_en[6],seg[dat_2]},dat_en[6]?8'hfd:8'hff}; 
		3'd2: data <= {{dot_en[5],seg[dat_3]},dat_en[5]?8'hfb:8'hff}; 
		3'd3: data <= {{dot_en[4],seg[dat_4]},dat_en[4]?8'hf7:8'hff}; 
		3'd4: data <= {{dot_en[3],seg[dat_5]},dat_en[3]?8'hef:8'hff};
		3'd5: data <= {{dot_en[2],seg[dat_6]},dat_en[2]?8'hdf:8'hff}; 
		3'd6: data <= {{dot_en[1],seg[dat_7]},dat_en[1]?8'hbf:8'hff}; 
		3'd7: data <= {{dot_en[0],seg[dat_8]},dat_en[0]?8'h7f:8'hff}; 
		default: data <= {8'h00,8'hff};
	endcase
	end

如果不考虑74HC595驱动芯片,FPGA直接连接数码管模块的段选和位选信号,data作为输出端口分配给段选和位选控制管脚,程序到这里差不多就OK了,然而为了节约IO管脚资源的占用,我们电路里有串转并的驱动芯片74HC595,所以我们还需要增加程序设计,将data的数据通过串行的方式传输到74HC595芯片,然后74HC595芯片将会根据data的内容控制数码管模块显示。

74HC595是较为常用的串行转并行的芯片,内部集成了一个8位移位寄存器、一个存储器和8个三态缓冲输出。在最简单的情况下我们只需要控制3根引脚输入得到8根引脚并行输出信号,而且可以级联使用,我们使用3个I/O口控制两个级联的74HC595芯片,产生16路并行输出,连接到扫描显示的8位数码管上。

不同的IC厂家都可以生产74HC595芯片,功能都是一样的,然而不同厂家的芯片手册对于管脚的命名会存在差异,管脚顺序相同,大家可以对应识别 上图是本设计中74HC595芯片的硬件电路连接,参考74HC595数据手册了解其具体用法,下图中我们了解到OE#(G#)和MR#(SCLR#)信号分别为输出使能(低电平输出)和复位管脚(低电平复位),OE#(G#)我们接GND让芯片输出使能,MR#(SCLR#)我们接VCC让芯片的移位寄存器永远不复位,如此FPGA只需要控制SHCP(SCK)、STCP(RCK)和DS(SER)即可。

74HC595引脚功能描述

74HC595内部结构图

74HC595时序图

根据74HC595内部结构及时序图可以得知,SHCP(SCK)每个上升沿都会将DS(SER)的数据采样到8位移位寄存器中,当STCP(RCK)上升沿时,8位移位寄存器中的数据被所存到8位锁存器中,同时对应Q0~Q7管脚刷新对应输出。对于我们的硬件,我们首先通过SHCP(SCK)和DS(SER)配合将需要传输的16位数据输出,然后控制STCP(RCK)产生上升沿,所以我们需要至少16个SH_CP(SCK)周期完成1次数码管控制。

74HC595串行驱动 程序实现如下:

//seg_rck     //74HC595的RCK管脚
//seg_sck     //74HC595的SCK管脚
//seg_din     //74HC595的SER管脚
WRITE:begin
	if(cnt_write >= 6'd33) cnt_write <= 1'b0;
	else cnt_write <= cnt_write + 1'b1;
	case(cnt_write)
		//74HC595是串行转并行的芯片,3路输入可产生8路输出,而且可以级联使用
		//74HC595的时序实现,参考74HC595的芯片手册
		6'd0:  begin seg_sck <= LOW; 
		seg_din <= data[15]; 
		end		//SCK下降沿时SER更新数据
		6'd1:  begin seg_sck <= HIGH; 
		end				//SCK上升沿时SER数据稳定
		6'd2:  begin seg_sck <= LOW; 
		seg_din <= data[14]; end
		6'd3:  begin seg_sck <= HIGH; 
		end
		6'd4:  begin seg_sck <= LOW; 
		seg_din <= data[13]; 
		end
		6'd5:  begin seg_sck <= HIGH; 
		end
		6'd6:  begin seg_sck <= LOW; 
		seg_din <= data[12]; 
		end
		6'd7:  begin seg_sck <= HIGH; 
		end
		6'd8:  begin seg_sck <= LOW; 
		seg_din <= data[11]; end
		6'd9:  begin seg_sck <= HIGH; 
		end
		6'd10: begin seg_sck <= LOW; 
		seg_din <= data[10]; 
		end
		6'd11: begin seg_sck <= HIGH; 
		end
		6'd12: begin seg_sck <= LOW; 
		seg_din <= data[9]; end
		6'd13: begin seg_sck <= HIGH; 
		end
		6'd14: begin seg_sck <= LOW; 
		seg_din <= data[8]; 
		end
		6'd15: begin seg_sck <= HIGH; 
		end
		6'd16: begin seg_sck <= LOW; 
		seg_din <= data[7]; end
		6'd17: begin seg_sck <= HIGH; 
		end
		6'd18: begin seg_sck <= LOW; 
		seg_din <= data[6]; end
		6'd19: begin seg_sck <= HIGH; 
		end
		6'd20: begin seg_sck <= LOW; 
		seg_din <= data[5]; end
		6'd21: begin seg_sck <= HIGH; 
		end
		6'd22: begin seg_sck <= LOW; 
		seg_din <= data[4]; end
		6'd23: begin seg_sck <= HIGH; 
		end
		6'd24: begin seg_sck <= LOW; 
		seg_din <= data[3]; end
		6'd25: begin seg_sck <= HIGH; 
		end
		6'd26: begin seg_sck <= LOW; 
		seg_din <= data[2]; end
		6'd27: begin seg_sck <= HIGH; 
		end
		6'd28: begin seg_sck <= LOW; 
		seg_din <= data[1]; 
		end
		6'd29: begin seg_sck <= HIGH; 
		end
		6'd30: begin seg_sck <= LOW; 
		seg_din <= data[0]; 
		end
		6'd31: begin seg_sck <= HIGH; 
		end
		6'd32: begin seg_rck <= HIGH; 
		end			//当16位数据传送完成后RCK拉高,输出生效
		6'd33: begin seg_rck <= LOW; 
		state <= MAIN; 
		end
		default: ;
	endcase
	end

如果我们设计一个状态机,将数码管扫描的程序和74HC595串行驱动程序分别做成两个状态MAIN和WRITE,控制数码管扫描程序每次产生一组控制数据,执行一次74HC595串行通信,就可以完成我们数码管模块电路的驱动设计了。

状态机设计框架

8位数码管刷新1次需要8个数码管各点亮1次,每个数码管点亮1次需要16位数据,16位数据通过串行方式传输给74HC595需要至少16个SHCP(SCK)周期,按照我们前面说的数码管刷新率达到125次/秒,扫描方式下每个数码管会点亮1毫秒,数码管点亮的时间应该等于1次数码管控制的时间,也就是16个SHCP(SCK)周期,所以我们可以控制74HC595的SHCP(SCK)时钟周期计算: SHCP(SCK)周期 = 1ms / 16 = 62.5us 即是说,当 SHCP(SCK)周期小于62.5us,刷新率就应该大于125次/秒(注:以上为估算的结果) 为了计算方便,我们就取SHCP(SCK)周期为50us,那么触发74HC595串行驱动执行的敏感变量周期应该为25us,我们可以通过分频产生周期为25us时钟触发完成以上所以操作。

时钟分频程序实现如下:

//计数器对系统时钟信号进行计数
reg [9:0] cnt = 1'b0;
always@(posedge clk or negedge rst_n) begin
	if(!rst_n) cnt <= 1'b0;
	else if(cnt>=(CNT_40KHz-1)) cnt <= 1'b0;
	else cnt <= cnt + 1'b1;
	end //根据计数器计数的周期产生分频的脉冲信号
	reg clk_40khz = 1'b0; 
	always@(posedge clk or negedge rst_n) begin
	if(!rst_n) clk_40khz <= 1'b0;
	else if(cnt<(CNT_40KHz>>1)) clk_40khz <= 1'b0;
	else clk_40khz <= 1'b1;
	end

状态机状态转移图

系统总体实现

按键消抖模块我们前面基础数字电路实验中详细介绍过,这里我们直接调用消抖模块,记分器逻辑部分其实就是对按键按动次数计数,输出0~999之间的BCD码制数据,这里也不再赘述,最后例化数码管模块将两队的比分数据显示出来。最后显示的数据为000~999,本实验例程中为了显示最小有效数据位,增加了将最高位为0的数据位不显示的设计,例如当分数为5分时,数码管本来会显示005,现在控制高两位的00不显示,只显示最低位5。

显示控制程序实现如下:

wire	[7:0]	dat_en;		//控制数码管点亮
assign	dat_en[7] = 1'b0;
assign	dat_en[6] = red_seg[11:8]? 1'b1:1'b0;
assign	dat_en[5] = red_seg[11:4]? 1'b1:1'b0;
assign	dat_en[4] = 1'b1; 
assign	dat_en[3] = 1'b0;
assign	dat_en[2] = blue_seg[11:8]? 1'b1:1'b0;
assign	dat_en[1] = blue_seg[11:4]? 1'b1:1'b0;
assign	dat_en[0] = 1'b1;

数码管显示模块例化 程序实现如下:

//segment_scan display module
Segment_scan u4
(
.clk					(clk			),	//系统时钟 12MHz
.rst_n					(rst_n			),	//系统复位 低有效
.dat_1					(0				),	//SEG1 显示的数据输入
.dat_2					(red_seg[11:8]	),	//SEG2 显示的数据输入
.dat_3					(red_seg[7:4]	),	//SEG3 显示的数据输入
.dat_4					(red_seg[3:0]	),	//SEG4 显示的数据输入
.dat_5					(0				),	//SEG5 显示的数据输入
.dat_6					(blue_seg[11:8]	),	//SEG6 显示的数据输入
.dat_7					(blue_seg[7:4]	),	//SEG7 显示的数据输入
.dat_8					(blue_seg[3:0]	),	//SEG8 显示的数据输入
.dat_en					(dat_en			),	//数码管数据位显示使能,[MSB~LSB]=[SEG1~SEG8]
.dot_en					(8'b0001_0001	),	//数码管小数点位显示使能,[MSB~LSB]=[SEG1~SEG8]
.seg_rck				(seg_rck		),	//74HC595的RCK管脚
.seg_sck				(seg_sck		),	//74HC595的SCK管脚
.seg_din				(seg_din		)	//74HC595的SER管脚);

综合后的设计框图如下:

RTL设计框图

实验步骤

  1. 双击打开Quartus Prime工具软件;
  2. 新建工程:File → New Project Wizard(工程命名,工程目录选择,设备型号选择,EDA工具选择);
  3. 新建文件:File → New → Verilog HDL File,键入设计代码并保存;
  4. 设计综合:双击Tasks窗口页面下的Analysis & Synthesis对代码进行综合;
  5. 管脚约束:Assignments → Assignment Editor,根据项目需求分配管脚;
  6. 设计编译:双击Tasks窗口页面下的Compile Design对设计进行整体编译并生成配置文件;
  7. 程序烧录:点击Tools → Programmer打开配置工具,Program进行下载;
  8. 观察设计运行结果。

将程序加载到FPGA开发平台,底板数码管左边4位为红队比分,右边4位为蓝队比分,初始都为0分,核心板K3按键为红队加分按键,核心板K4按键为蓝队加分按键,按动K3、K4按键,观察红队和蓝队比分变化。

]]>
//www.cghlg.com/article/202312/453767.htm Mon, 11 Dec 2023 15:02:30 +0800
<![CDATA[ 基于旋转编码器的调节系统设计 ]]> 实验任务
  • 任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成旋转调节系统设计并观察调试结果
  • 要求:转动底板上的旋转编码器,调整核心板数码管数值在0~99之间变化,右旋增加,左旋减小。
  • 解析:通过FPGA编程驱动旋转编码器获取操作信息,根据操作信息控制变量增加或减小,最后驱动独立式数码管将变量显示出来。

实验目的

在基础数字电路实验部分我们已经掌握了FPGA驱动独立显示数码管的原理及方法,本实验主要学习旋转编码器的驱动原理,最后完成旋转调节系统总体设计。

  • 熟悉独立显示数码管驱动模块的应用
  • 掌握旋转编码器的工作原理及驱动方法
  • 完成旋转调节系统总体设计

设计框图

根据前面的实验解析我们可以得知,该设计可以拆分成三个功能模块实现,

  • Encoder:通过驱动旋转编码器获取操作信息数据。
  • Decoder:根据旋转编码器操作信息控制变量在0~99范围内加减变化。
  • Segment_led:通过驱动核心板独立数码管将变量数据显示在数码管上。

顶层模块Amp_Adjust通过实例化三个子模块并将对应的信号连接,最终实现旋转调节系统的总体设计。

Top-Down层次设计

模块结构设计

实验原理

旋转编码器介绍

旋转编码器(rotary encoder)也称为轴编码器,是将旋转位置或旋转量转换成模拟或数字信号的机电设备。旋转编码器用在许多需要精确旋转位置及速度的场合,如工业控制、机器人技术、专用镜头、电脑输入设备(如鼠标及轨迹球)等。 旋转编码器以码盘刻孔方式不同分为:绝对式和增量式两类。

  • 绝对式编码器:具有多个不同二进制权重的代码环,每个不同角度产生一个独特的数字代码,表示编码器的绝对位置
  • 增量式编码器:旋转过程中提供周期性输出,不能定位绝对位置,只能用于感知运动方向和增量

STEP BaseBoard V3.0底板上集成的旋转编码器就是机械增量式的。

旋转编码器连接

STEP BaseBoard V3.0底板上旋转编码器的电路图如下:

旋转编码器电路

我们使用的旋转编码器为EC11系列的,支持按动开关,共有5个管脚,

  • 1、2管脚支持按动开关,就像我们之前用到的独立按键连接方式,
  • 3、4、5管脚支持旋转编码,4脚为公共端,3、5管脚分别为旋转编码器的A、B相输出,如上图所示,我们给4脚接地,3、5管脚则需要接上拉电阻,同时为了降低输出脉冲信号的抖动干扰,我们有增加了电容到地做硬件去抖。
旋转编码器驱动设计

编码器原理示意

上图是机械增量式旋转编码器的原理示意图,中间圆形齿轮连接到旋转编码器的公共端4管脚,STEP BaseBoard V3.0底板上我们将之接地处理,A、B两个触点连接到旋转编码器的A、B相输出端3、5管脚,当进行旋转操作时,A、B触点会先后接触和错开圆形齿轮,从而导致A、B相输出信号产生相位不同的脉冲信号:

  • 顺时针旋转时,A触点超前于B触点接触和错开圆形齿轮,A信号脉冲相位超前
  • 逆时针旋转时,B触点超前于A触点接触和错开圆形齿轮,B信号脉冲相位超前

编码器顺时针旋转时序

编码器逆时针旋转时序

根据时序图可以看出旋转编码器顺时针或逆时针旋转时,A相信号超前或滞后B相信号,FPGA接收到旋转编码器的A、B信号时,可以根据A、B的状态组合判定编码器的旋转方向。 程序设计中我们可以对A、B信号检测,检测A信号的边沿及B信号的状态,

  • 当A信号上升沿时B信号为低电平,或当A信号下降沿时B信号为高电平,证明当前编码器为顺时针转动
  • 当A信号上升沿时B信号为高电平,或当A信号下降沿时B信号为低电平,证明当前编码器为逆时针转动

以上就是我们旋转编码器驱动设计的总体思路,下面我们就通过编程来实现它。

前面电路连接部分我们使用了两个电容对A、B信号作去抖处理,可以起到一定的效果,为了驱动更加稳定,我们在程序中再简单处理一下,先对系统时钟分频得到2KHz的时钟,然后在2KHz时钟的节拍下对A、B信号采样,三级锁存消除亚稳态

对A信号采样程序实现如下(对B信号一样):

reg	key_a_r,key_a_r1,key_a_r2;//消除亚稳态always@(posedge clk_500us) begin
	key_a_r		<=	key_a;
	key_a_r1	<=	key_a_r;
	key_a_r2	<=	key_a_r1;end

然后简单去抖处理程序实现如下(对B信号一样):

reg	A_state;//简单去抖动处理
always@(key_a_r1 or key_a_r2) begin
	case({key_a_r1,key_a_r2})
		2'b11:	A_state <= 1'b1;
		2'b00:	A_state <= 1'b0;
		default: A_state <= A_state;
	endcaseend

检测A信号的边沿程序实现如下:

reg A_state_r,A_state_r1;//对A_state信号进行边沿检测
always@(posedge clk) begin
	A_state_r <= A_state; 
	A_state_r1 <= A_state_r;
	end
	wire	A_pos	= (!A_state_r1) && A_state_r;
	wire	A_neg	= A_state_r1 && (!A_state_r);

最后根据A信号边沿与B信号的状态组合判定旋转的信息,

逆时针旋转脉冲输出程序实现如下:

//当A的上升沿伴随B的高电平或当A的下降沿伴随B的低电平 为向左旋转
always@(posedge clk or negedge rst_n) begin
	if(!rst_n) L_pulse <= 1'b0;
	else if((A_pos&&B_state)||(A_neg&&(!B_state))) L_pulse <= 1'b1;
	else L_pulse <= 1'b0;
	end  //当A的上升沿伴随B的低电平或当A的下降沿伴随B的高电平 为向右旋转
	always@(posedge clk or negedge rst_n) begin
	if(!rst_n) R_pulse <= 1'b0;
	else if((A_pos&&(!B_state))||(A_neg&&B_state)) R_pulse <= 1'b1;
	else R_pulse <= 1'b0;
	end

所以通过上面程序最终实现了左旋右旋的脉冲输出,脉冲的脉宽等于系统时钟的周期。

系统总体实现

回顾旋转调节系统设计框架,刚刚我们已经学习完成了旋转编码器的驱动设计,在基础数字电路实验部分我们已经掌握了FPGA驱动独立显示数码管的原理及方法, 模块通过一个4位的输入传递要显示的数值,通过9位的输出控制数码管显示该数值,这里我们不再重复,还需要设计一个模块,通过旋转编码器模块脉冲输出控制变量在0~99范围内加减变化。

关于BCD码在基础数字电路实验部分已经接触过,BCD码(Binarycoded Decimal),是用4位二进制码的组合代表十进制数的码制方法,这样显示更符合人的阅读习惯,所以BCD数值变化要求满9进1。

脉冲控制变量在0~99范围变化,左旋减,右旋加,程序实现如下

//key_pulse transfer to seg_dataalways@(posedge clk or negedge rst_n) begin
	if(!rst_n) begin
		seg_data <= 8'h50;
	end else begin
		if(L_pulse) begin
			if(seg_data[3:0]==4'd0) begin
				seg_data[3:0] <= 4'd9;
				if(seg_data[7:4]==4'd0) seg_data[7:4] <= 4'd9;
				else seg_data[7:4] <= seg_data[7:4] - 1'b1;
			end else seg_data[3:0] <= seg_data[3:0] - 1'b1;
		end else if(R_pulse) begin
			if(seg_data[3:0]==4'd9) begin
				seg_data[3:0] <= 4'd0;
				if(seg_data[7:4]==4'd9) seg_data[7:4] <= 4'd0;
				else seg_data[7:4] <= seg_data[7:4] + 1'b1;
			end else seg_data[3:0] <= seg_data[3:0] + 1'b1;
		end else begin
			seg_data <= seg_data;
		end
	end
	end

综合后的设计框图如下:

rtl设计框图

实验步骤

  1. 双击打开Quartus Prime工具软件;
  2. 新建工程:File → New Project Wizard(工程命名,工程目录选择,设备型号选择,EDA工具选择);
  3. 新建文件:File → New → Verilog HDL File,键入设计代码并保存;
  4. 设计综合:双击Tasks窗口页面下的Analysis & Synthesis对代码进行综合;
  5. 管脚约束:Assignments → Assignment Editor,根据项目需求分配管脚;
  6. 设计编译:双击Tasks窗口页面下的Compile Design对设计进行整体编译并生成配置文件;
  7. 程序烧录:点击Tools → Programmer打开配置工具,Program进行下载;
  8. 观察设计运行结果。

实验现象

将程序下载到实验平台,核心板数码管显示50,旋转编码器左旋(逆时针)数值减小,旋转编码器右旋(顺时针)数值增加,旋转编码器旋转时有顿挫感,每次顿挫数值变化1。

]]>
实验任务
  • 任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成旋转调节系统设计并观察调试结果
  • 要求:转动底板上的旋转编码器,调整核心板数码管数值在0~99之间变化,右旋增加,左旋减小。
  • 解析:通过FPGA编程驱动旋转编码器获取操作信息,根据操作信息控制变量增加或减小,最后驱动独立式数码管将变量显示出来。

实验目的

在基础数字电路实验部分我们已经掌握了FPGA驱动独立显示数码管的原理及方法,本实验主要学习旋转编码器的驱动原理,最后完成旋转调节系统总体设计。

  • 熟悉独立显示数码管驱动模块的应用
  • 掌握旋转编码器的工作原理及驱动方法
  • 完成旋转调节系统总体设计

设计框图

根据前面的实验解析我们可以得知,该设计可以拆分成三个功能模块实现,

  • Encoder:通过驱动旋转编码器获取操作信息数据。
  • Decoder:根据旋转编码器操作信息控制变量在0~99范围内加减变化。
  • Segment_led:通过驱动核心板独立数码管将变量数据显示在数码管上。

顶层模块Amp_Adjust通过实例化三个子模块并将对应的信号连接,最终实现旋转调节系统的总体设计。

Top-Down层次设计

模块结构设计

实验原理

旋转编码器介绍

旋转编码器(rotary encoder)也称为轴编码器,是将旋转位置或旋转量转换成模拟或数字信号的机电设备。旋转编码器用在许多需要精确旋转位置及速度的场合,如工业控制、机器人技术、专用镜头、电脑输入设备(如鼠标及轨迹球)等。 旋转编码器以码盘刻孔方式不同分为:绝对式和增量式两类。

  • 绝对式编码器:具有多个不同二进制权重的代码环,每个不同角度产生一个独特的数字代码,表示编码器的绝对位置
  • 增量式编码器:旋转过程中提供周期性输出,不能定位绝对位置,只能用于感知运动方向和增量

STEP BaseBoard V3.0底板上集成的旋转编码器就是机械增量式的。

旋转编码器连接

STEP BaseBoard V3.0底板上旋转编码器的电路图如下:

旋转编码器电路

我们使用的旋转编码器为EC11系列的,支持按动开关,共有5个管脚,

  • 1、2管脚支持按动开关,就像我们之前用到的独立按键连接方式,
  • 3、4、5管脚支持旋转编码,4脚为公共端,3、5管脚分别为旋转编码器的A、B相输出,如上图所示,我们给4脚接地,3、5管脚则需要接上拉电阻,同时为了降低输出脉冲信号的抖动干扰,我们有增加了电容到地做硬件去抖。
旋转编码器驱动设计

编码器原理示意

上图是机械增量式旋转编码器的原理示意图,中间圆形齿轮连接到旋转编码器的公共端4管脚,STEP BaseBoard V3.0底板上我们将之接地处理,A、B两个触点连接到旋转编码器的A、B相输出端3、5管脚,当进行旋转操作时,A、B触点会先后接触和错开圆形齿轮,从而导致A、B相输出信号产生相位不同的脉冲信号:

  • 顺时针旋转时,A触点超前于B触点接触和错开圆形齿轮,A信号脉冲相位超前
  • 逆时针旋转时,B触点超前于A触点接触和错开圆形齿轮,B信号脉冲相位超前

编码器顺时针旋转时序

编码器逆时针旋转时序

根据时序图可以看出旋转编码器顺时针或逆时针旋转时,A相信号超前或滞后B相信号,FPGA接收到旋转编码器的A、B信号时,可以根据A、B的状态组合判定编码器的旋转方向。 程序设计中我们可以对A、B信号检测,检测A信号的边沿及B信号的状态,

  • 当A信号上升沿时B信号为低电平,或当A信号下降沿时B信号为高电平,证明当前编码器为顺时针转动
  • 当A信号上升沿时B信号为高电平,或当A信号下降沿时B信号为低电平,证明当前编码器为逆时针转动

以上就是我们旋转编码器驱动设计的总体思路,下面我们就通过编程来实现它。

前面电路连接部分我们使用了两个电容对A、B信号作去抖处理,可以起到一定的效果,为了驱动更加稳定,我们在程序中再简单处理一下,先对系统时钟分频得到2KHz的时钟,然后在2KHz时钟的节拍下对A、B信号采样,三级锁存消除亚稳态

对A信号采样程序实现如下(对B信号一样):

reg	key_a_r,key_a_r1,key_a_r2;//消除亚稳态always@(posedge clk_500us) begin
	key_a_r		<=	key_a;
	key_a_r1	<=	key_a_r;
	key_a_r2	<=	key_a_r1;end

然后简单去抖处理程序实现如下(对B信号一样):

reg	A_state;//简单去抖动处理
always@(key_a_r1 or key_a_r2) begin
	case({key_a_r1,key_a_r2})
		2'b11:	A_state <= 1'b1;
		2'b00:	A_state <= 1'b0;
		default: A_state <= A_state;
	endcaseend

检测A信号的边沿程序实现如下:

reg A_state_r,A_state_r1;//对A_state信号进行边沿检测
always@(posedge clk) begin
	A_state_r <= A_state; 
	A_state_r1 <= A_state_r;
	end
	wire	A_pos	= (!A_state_r1) && A_state_r;
	wire	A_neg	= A_state_r1 && (!A_state_r);

最后根据A信号边沿与B信号的状态组合判定旋转的信息,

逆时针旋转脉冲输出程序实现如下:

//当A的上升沿伴随B的高电平或当A的下降沿伴随B的低电平 为向左旋转
always@(posedge clk or negedge rst_n) begin
	if(!rst_n) L_pulse <= 1'b0;
	else if((A_pos&&B_state)||(A_neg&&(!B_state))) L_pulse <= 1'b1;
	else L_pulse <= 1'b0;
	end  //当A的上升沿伴随B的低电平或当A的下降沿伴随B的高电平 为向右旋转
	always@(posedge clk or negedge rst_n) begin
	if(!rst_n) R_pulse <= 1'b0;
	else if((A_pos&&(!B_state))||(A_neg&&B_state)) R_pulse <= 1'b1;
	else R_pulse <= 1'b0;
	end

所以通过上面程序最终实现了左旋右旋的脉冲输出,脉冲的脉宽等于系统时钟的周期。

系统总体实现

回顾旋转调节系统设计框架,刚刚我们已经学习完成了旋转编码器的驱动设计,在基础数字电路实验部分我们已经掌握了FPGA驱动独立显示数码管的原理及方法, 模块通过一个4位的输入传递要显示的数值,通过9位的输出控制数码管显示该数值,这里我们不再重复,还需要设计一个模块,通过旋转编码器模块脉冲输出控制变量在0~99范围内加减变化。

关于BCD码在基础数字电路实验部分已经接触过,BCD码(Binarycoded Decimal),是用4位二进制码的组合代表十进制数的码制方法,这样显示更符合人的阅读习惯,所以BCD数值变化要求满9进1。

脉冲控制变量在0~99范围变化,左旋减,右旋加,程序实现如下

//key_pulse transfer to seg_dataalways@(posedge clk or negedge rst_n) begin
	if(!rst_n) begin
		seg_data <= 8'h50;
	end else begin
		if(L_pulse) begin
			if(seg_data[3:0]==4'd0) begin
				seg_data[3:0] <= 4'd9;
				if(seg_data[7:4]==4'd0) seg_data[7:4] <= 4'd9;
				else seg_data[7:4] <= seg_data[7:4] - 1'b1;
			end else seg_data[3:0] <= seg_data[3:0] - 1'b1;
		end else if(R_pulse) begin
			if(seg_data[3:0]==4'd9) begin
				seg_data[3:0] <= 4'd0;
				if(seg_data[7:4]==4'd9) seg_data[7:4] <= 4'd0;
				else seg_data[7:4] <= seg_data[7:4] + 1'b1;
			end else seg_data[3:0] <= seg_data[3:0] + 1'b1;
		end else begin
			seg_data <= seg_data;
		end
	end
	end

综合后的设计框图如下:

rtl设计框图

实验步骤

  1. 双击打开Quartus Prime工具软件;
  2. 新建工程:File → New Project Wizard(工程命名,工程目录选择,设备型号选择,EDA工具选择);
  3. 新建文件:File → New → Verilog HDL File,键入设计代码并保存;
  4. 设计综合:双击Tasks窗口页面下的Analysis & Synthesis对代码进行综合;
  5. 管脚约束:Assignments → Assignment Editor,根据项目需求分配管脚;
  6. 设计编译:双击Tasks窗口页面下的Compile Design对设计进行整体编译并生成配置文件;
  7. 程序烧录:点击Tools → Programmer打开配置工具,Program进行下载;
  8. 观察设计运行结果。

实验现象

将程序下载到实验平台,核心板数码管显示50,旋转编码器左旋(逆时针)数值减小,旋转编码器右旋(顺时针)数值增加,旋转编码器旋转时有顿挫感,每次顿挫数值变化1。

]]>
//www.cghlg.com/article/202312/453766.htm Mon, 11 Dec 2023 14:55:03 +0800
<![CDATA[ AI PC 助力复苏,2024 年笔记本电脑出货量预计增长 11% ]]> IT之家 12 月 11 日消息,根据 TechInsights 公布的最新报告,自 2021 年之后,全球笔记本电脑出货量已经连续八个季度下滑,预计 2023 年的出货量将比 2021 年下降 27%。不过,有迹象表明,笔记本电脑出货量将出现复苏。

图片 1

▲ 图源 TechInsights

该机构分析称,2024 年全球笔记本电脑出货量预计将比 2023 年增长 11%。在 Windows 10 到 11 的升级周期、AI PC 的增长以及基于 ARM 的 PC(IT之家注:苹果和高通)更激烈的竞争作用下,预计将推动笔记本电脑出货量在 2025 年达到约 2.4 亿的新高度。

TechInsights 表示,今年 10 月,有传言称 AMD 和英伟达都在为 PC 设计的基于 ARM 的处理器,该处理器将运行 Windows 系统,最早将于 2025 年上市。

他们认为,虽然 x86 处理器被认为性能更高,但它们需要更多的空间、冷却和功耗。另一方面,ARM 以效率为核心,虽然这似乎与运行大型人工智能任务负载相违背,但 ARM 处理器上较小的指令集意味着它们可以以更快的速度分解指令,并有更少的功耗。

TechInsights 还指出,微软在 Windows 11 中添加的人工智能助手 Copilot 很受欢迎,但它还没有从根本上改变用户使用电脑的方式。然而,如果 Windows 12 从一开始就考虑到人工智能,那么引入具有人工智能硬件的 PC,再加上增强的 Copilot,就会成为一款更有吸引力的产品。


]]>
IT之家 12 月 11 日消息,根据 TechInsights 公布的最新报告,自 2021 年之后,全球笔记本电脑出货量已经连续八个季度下滑,预计 2023 年的出货量将比 2021 年下降 27%。不过,有迹象表明,笔记本电脑出货量将出现复苏。

图片 1

▲ 图源 TechInsights

该机构分析称,2024 年全球笔记本电脑出货量预计将比 2023 年增长 11%。在 Windows 10 到 11 的升级周期、AI PC 的增长以及基于 ARM 的 PC(IT之家注:苹果和高通)更激烈的竞争作用下,预计将推动笔记本电脑出货量在 2025 年达到约 2.4 亿的新高度。

TechInsights 表示,今年 10 月,有传言称 AMD 和英伟达都在为 PC 设计的基于 ARM 的处理器,该处理器将运行 Windows 系统,最早将于 2025 年上市。

他们认为,虽然 x86 处理器被认为性能更高,但它们需要更多的空间、冷却和功耗。另一方面,ARM 以效率为核心,虽然这似乎与运行大型人工智能任务负载相违背,但 ARM 处理器上较小的指令集意味着它们可以以更快的速度分解指令,并有更少的功耗。

TechInsights 还指出,微软在 Windows 11 中添加的人工智能助手 Copilot 很受欢迎,但它还没有从根本上改变用户使用电脑的方式。然而,如果 Windows 12 从一开始就考虑到人工智能,那么引入具有人工智能硬件的 PC,再加上增强的 Copilot,就会成为一款更有吸引力的产品。


]]>
//www.cghlg.com/article/202312/453765.htm Mon, 11 Dec 2023 14:44:34 +0800
<![CDATA[ 全国首座百米超高层数据指挥中心竣工,集大数据、人工智能等于一体 ]]> IT之家 12 月 11 日消息,据深圳卫视深视新闻报道,全国首座百米超高层数据指挥中心 —— 深圳前海信息枢纽大厦项目近日正式竣工。

IT之家注意到,前海信息枢纽大厦项目主体塔楼高 112.15 米,标准层高为 5.5 米,机房层使用荷载为 12-16kN / m²,从设计到施工全生命周期采用绿色建造技术。

该项目贯彻全封闭式“保温箱”设计理念,将机房功能布置在建筑平面的中心部位,实现建筑节能;地下空间附建集中供冷站,提高能源利用率。

以数据中心为主体,前海信息枢纽大厦配备了 3920 台机柜(一期 1374 台,二期 2546 台),配套区域冷站、邮政支局及前海城市管理运行中心等多个重要功能,建成后将集成大数据、人工智能、物联网、区块链等新技术于一体。


]]>
IT之家 12 月 11 日消息,据深圳卫视深视新闻报道,全国首座百米超高层数据指挥中心 —— 深圳前海信息枢纽大厦项目近日正式竣工。

IT之家注意到,前海信息枢纽大厦项目主体塔楼高 112.15 米,标准层高为 5.5 米,机房层使用荷载为 12-16kN / m²,从设计到施工全生命周期采用绿色建造技术。

该项目贯彻全封闭式“保温箱”设计理念,将机房功能布置在建筑平面的中心部位,实现建筑节能;地下空间附建集中供冷站,提高能源利用率。

以数据中心为主体,前海信息枢纽大厦配备了 3920 台机柜(一期 1374 台,二期 2546 台),配套区域冷站、邮政支局及前海城市管理运行中心等多个重要功能,建成后将集成大数据、人工智能、物联网、区块链等新技术于一体。


]]>
//www.cghlg.com/article/202312/453763.htm Mon, 11 Dec 2023 13:53:05 +0800
<![CDATA[ 三大原厂 HBM 路线图 ]]> TrendForce 对 HBM 市场的最新研究表明,英伟达计划使其 HBM 供应商多元化,以实现更强大、更高效的供应链管理。三星的 HBM3 (24GB) 预计将于今年 12 月完成英伟达的验证。HBM3e 的进展情况如下表所示,美光于 7 月底向英伟达提供了 8hi (24GB) 样品,8 月中旬向 SK 海力士提供,10 月初向三星提供。

鉴于 HBM 验证过程的复杂性(预计需要两个季度),TrendForce 预计一些制造商可能会在 2023 年底之前获悉 HBM3e 的初步结果。不过,普遍预计主要制造商将在 2024 年第一季度之前获得明确的结果。值得注意的是,最终评估仍在进行中,结果将影响英伟达 2024 年的采购决策。

英伟达继续主导高端芯片市场,扩大其先进 AI 芯片阵容

2024 年即将到来,多家 AI 芯片供应商纷纷推出最新产品。英伟达目前的 2023 年高端 AI 系列采用了 HBM,包括 A100/A800 和 H100/H800 等型号。2024 年,英伟达计划进一步完善其产品组合。新增加的产品包括使用 6 个 HBM3e 芯片的 H200 和使用 8 个 HBM3e 芯片的 B100。英伟达还将集成自家基于 Arm 的 CPU 和 GPU,推出 GH200 和 GB200,通过更专业、更强大的 AI 解决方案增强其产品阵容。

相比之下,AMD 2024 年的重点是采用 HBM3 的 MI300 系列,下一代 MI350 过渡到 HBM3e。该公司预计将于 2024 年下半年开始对 MI350 进行 HBM 验证,预计 25 年 1 季度产品将大幅增加。

Intel Habana 在 2H22 推出了 Gaudi 2,它采用了 6 个 HBM2e 堆栈。即将推出的 Gaudi 3(预计于 2024 年中期推出)预计将继续使用 HBM2e,但将升级至 8 个堆栈。TrendForce 认为,英伟达凭借其最先进的 HBM 规格、产品准备和战略时间表,将在 GPU 领域保持领先地位,进而在竞争激烈的 AI 芯片市场保持领先地位。

HBM4 可能转向商品 DRAM 之外的定制

HBM4 预计将于 2026 年推出,具有针对英伟达和其他 CSP 未来产品量身定制的增强规格和性能。在更高速度的推动下,HBM4 将标志着其最底部逻辑芯片(基础芯片)首次使用 12 纳米工艺晶圆,由代工厂提供。这一进步标志着代工厂和存储器供应商之间针对每种 HBM 产品的合作努力,反映了高速存储器技术不断发展的前景。

随着更高计算性能的推动,HBM4 将从当前的 12 层 (12hi) 堆栈扩展到 16 层 (16hi) 堆栈,从而刺激对新混合键合技术的需求。HBM4 12hi 产品将于 2026 年推出,16hi 型号将于 2027 年推出。

最后,TrendForce 指出 HBM4 市场的定制需求发生了重大转变。买家正在启动定制规范,超越与 SoC 相邻的传统布局,并探索将 HBM 直接堆叠在 SoC 顶部等选项。虽然这些可能性仍在评估中,但 TrendForce 预计将针对 HBM 行业的未来采取更加量身定制的方法。

与商品 DRAM 的标准化方法相反,这种向定制化的转变预计将带来独特的设计和定价策略,标志着对传统框架的背离,并预示着 HBM 技术专业化生产时代的到来。

存储芯片市场价格的反弹信号,让行业人士看到「曙光」。在 2024 年存储产业趋势研讨会上,集邦咨询资深研究副总经理吴雅婷表示:「2023 年,原厂产能策略步调一致,均以去库存为目标。目前实际生产量已经低于需求,预计第四季度库存去化将加速。展望明年,供需将逐步走向平衡,带动价格缓步上涨。」近 2 年来,全球存储芯片产业经历了过山车行情,从 2021 年的缺货,供不应求,到 2022 年的产能过剩,2023 年第三季度开始出现反转信号,存储芯片春天即将到来。

进入第四季度,多个型号的存储芯片呈现涨价之势。根据集邦咨询最新研究数据,今年第四季 Mobile DRAM(移动动态随机存取存储器)合约价季涨幅预估将扩大至 13% 至 18%。NAND Flash(闪存存储器)方面,eMMC(嵌入式多媒体存储芯片)、UFS(通用闪存存储芯片)第四季合约价涨幅约 10% 至 15%;由于 Mobile DRAM 一直以来获利表现均较其他 DRAM(动态随机存取存储器)产品低,因此成为本次的领涨项目。

兆易创新在接受机构调研时表示:「大存储在今年第三季度已经达到了价格的底部区间。在今年三季度末大存储出现一些价格反弹,此反弹对利基存储有一定的带动效应,利基存储价格也在筑底并有微弱反弹。明年大存储的价格有望延续反弹的走势,但也不太会出现暴涨暴跌的情况;利基型 DRAM 也会随着大存储反弹的走势,延续微弱反弹的趋势。具体供需关系还要看明年需求的恢复情况以及主流厂商减产的持续时间。」

]]>
TrendForce 对 HBM 市场的最新研究表明,英伟达计划使其 HBM 供应商多元化,以实现更强大、更高效的供应链管理。三星的 HBM3 (24GB) 预计将于今年 12 月完成英伟达的验证。HBM3e 的进展情况如下表所示,美光于 7 月底向英伟达提供了 8hi (24GB) 样品,8 月中旬向 SK 海力士提供,10 月初向三星提供。

鉴于 HBM 验证过程的复杂性(预计需要两个季度),TrendForce 预计一些制造商可能会在 2023 年底之前获悉 HBM3e 的初步结果。不过,普遍预计主要制造商将在 2024 年第一季度之前获得明确的结果。值得注意的是,最终评估仍在进行中,结果将影响英伟达 2024 年的采购决策。

英伟达继续主导高端芯片市场,扩大其先进 AI 芯片阵容

2024 年即将到来,多家 AI 芯片供应商纷纷推出最新产品。英伟达目前的 2023 年高端 AI 系列采用了 HBM,包括 A100/A800 和 H100/H800 等型号。2024 年,英伟达计划进一步完善其产品组合。新增加的产品包括使用 6 个 HBM3e 芯片的 H200 和使用 8 个 HBM3e 芯片的 B100。英伟达还将集成自家基于 Arm 的 CPU 和 GPU,推出 GH200 和 GB200,通过更专业、更强大的 AI 解决方案增强其产品阵容。

相比之下,AMD 2024 年的重点是采用 HBM3 的 MI300 系列,下一代 MI350 过渡到 HBM3e。该公司预计将于 2024 年下半年开始对 MI350 进行 HBM 验证,预计 25 年 1 季度产品将大幅增加。

Intel Habana 在 2H22 推出了 Gaudi 2,它采用了 6 个 HBM2e 堆栈。即将推出的 Gaudi 3(预计于 2024 年中期推出)预计将继续使用 HBM2e,但将升级至 8 个堆栈。TrendForce 认为,英伟达凭借其最先进的 HBM 规格、产品准备和战略时间表,将在 GPU 领域保持领先地位,进而在竞争激烈的 AI 芯片市场保持领先地位。

HBM4 可能转向商品 DRAM 之外的定制

HBM4 预计将于 2026 年推出,具有针对英伟达和其他 CSP 未来产品量身定制的增强规格和性能。在更高速度的推动下,HBM4 将标志着其最底部逻辑芯片(基础芯片)首次使用 12 纳米工艺晶圆,由代工厂提供。这一进步标志着代工厂和存储器供应商之间针对每种 HBM 产品的合作努力,反映了高速存储器技术不断发展的前景。

随着更高计算性能的推动,HBM4 将从当前的 12 层 (12hi) 堆栈扩展到 16 层 (16hi) 堆栈,从而刺激对新混合键合技术的需求。HBM4 12hi 产品将于 2026 年推出,16hi 型号将于 2027 年推出。

最后,TrendForce 指出 HBM4 市场的定制需求发生了重大转变。买家正在启动定制规范,超越与 SoC 相邻的传统布局,并探索将 HBM 直接堆叠在 SoC 顶部等选项。虽然这些可能性仍在评估中,但 TrendForce 预计将针对 HBM 行业的未来采取更加量身定制的方法。

与商品 DRAM 的标准化方法相反,这种向定制化的转变预计将带来独特的设计和定价策略,标志着对传统框架的背离,并预示着 HBM 技术专业化生产时代的到来。

存储芯片市场价格的反弹信号,让行业人士看到「曙光」。在 2024 年存储产业趋势研讨会上,集邦咨询资深研究副总经理吴雅婷表示:「2023 年,原厂产能策略步调一致,均以去库存为目标。目前实际生产量已经低于需求,预计第四季度库存去化将加速。展望明年,供需将逐步走向平衡,带动价格缓步上涨。」近 2 年来,全球存储芯片产业经历了过山车行情,从 2021 年的缺货,供不应求,到 2022 年的产能过剩,2023 年第三季度开始出现反转信号,存储芯片春天即将到来。

进入第四季度,多个型号的存储芯片呈现涨价之势。根据集邦咨询最新研究数据,今年第四季 Mobile DRAM(移动动态随机存取存储器)合约价季涨幅预估将扩大至 13% 至 18%。NAND Flash(闪存存储器)方面,eMMC(嵌入式多媒体存储芯片)、UFS(通用闪存存储芯片)第四季合约价涨幅约 10% 至 15%;由于 Mobile DRAM 一直以来获利表现均较其他 DRAM(动态随机存取存储器)产品低,因此成为本次的领涨项目。

兆易创新在接受机构调研时表示:「大存储在今年第三季度已经达到了价格的底部区间。在今年三季度末大存储出现一些价格反弹,此反弹对利基存储有一定的带动效应,利基存储价格也在筑底并有微弱反弹。明年大存储的价格有望延续反弹的走势,但也不太会出现暴涨暴跌的情况;利基型 DRAM 也会随着大存储反弹的走势,延续微弱反弹的趋势。具体供需关系还要看明年需求的恢复情况以及主流厂商减产的持续时间。」

]]>
//www.cghlg.com/article/202312/453762.htm Mon, 11 Dec 2023 13:39:25 +0800
<![CDATA[ Q3半导体行业总产值环比增长8.4% ]]> Omdia 最新发布的报告显示,在人工智能需求的持续推动下,相比于 2023 年第二季度,半导体行业总产值在 2023 年第三季度增长了 8.4%,达到 1390 亿美元。在此前连续五个季度下降之后,现如今该行业终于迎来了连续两个季度的增长。

Omdia 半导体研究首席分析师 Cliff Leimbach 说道:「半导体行业的增长并不完全得益于人工智能需求,因为其他半导体细分市场也同样在增长。在排名前十五位的公司中,有十四家在 2023 年第三季度实现了半导体营收的季度增长,在我们追踪的 126 家公司中,有 80 家 (63%) 在第三季度实现了收入增长。」

人工智能继续推动半导体市场发展,行业整体呈现向好态势

人工智能需求仍是半导体行业第三季度的重要主题。两家受益于人工智能的公司英伟达和 SK 海力士继续赢得半导体营收的大幅增长。英伟达主要生产用于数据密集型人工智能的图形处理器 (GPU),其半导体营收增长了 18%,达到 120 亿美元,相较于去年第三季度半导体营收为 46 亿美元,同期增长 73 亿美元。SK 海力士在人工智能应用的高带宽储存器 (HBM) 领域占据主导地位,其半导体营收增长了 26%,达到 67 亿美元。

除此之外半导体市场的其他领域在第三季度也有所增长。随着新款智能手机的发布,无线细分市场也渐有起色,库存动态优于前几个季度。汽车行业的增长率较低,第三季度仅增长了 4.3%,但这一细分市场始终保持稳定,上一次下降还要追溯到 2020 年第三季度,目前占半导体总营收的 13.5%。消费细分市场也有所提升,比 2023 年第二季度增长了 7.9%,展现出半导体市场营收增长的覆盖广度。

内存市场势态持续向好

自 2022 年起,半导体市场陷入衰退,存储市场遭受最大冲击,从 2022 年第一季度的 436 亿美元下降到 2023 年第一季度的 193 亿美元,而后从 2023 年第一季度开始触底反弹,从 193 亿美元增至 2023 年第三季度的 245 亿美元。与第二季度相比,五大内存制造商中有四家的第三季度内存芯片营收实现两位数增长。人工智能需求占了很大一部分,但其他应用的需求也在不断增加。

韩国 SK 海力士第三季度在 DRAM 领域的市场份额已达到 35%。随着高带宽内存 (HBM) 在人工智能时代的重要性日益增加,预计 DRAM 行业将转向以质量为中心的赢家通吃的结构。Omdia 高级研究员 Jung Sung-kong 表示,「从人工智能增长中受益匪浅的行业之一是 DRAM」。预测 DRAM 行业未来将发生重大变化。

市场份额前十名

英伟达的市场份额排名不断提升,已跃居为营收排名第二的半导体公司,成功取代三星和英特尔在榜首位置交替霸榜的历史。多年来,这两家公司一直占据着半导体市场第一和第二的宝座。

手机市场回暖?

根据 Omdia 智能手机市场监测报告显示,折叠智能手机在中国的出货规模超过 170 万台,同比增长 77%,环比增长 46%。各家折叠新品也在本季度集中发布,荣耀的 Magic V2 由于其多项领先的升级,带来市场旺盛需求,助力荣耀在第三季度以 28% 的市场份额,夺得中国折叠智能手机市场出货的第一名,与其去年同期折叠智能手机出货量相比,增长了 17 倍。

同时,荣耀 Magic V2 也以 25% 的市场份额,成为本季度中国折叠手机市场排名第一的单品。届时,由于荣耀 Magic V2 的带动,在其高端 Magic 系列智能手机出货量同比增长了 107.5%,环比增长 20%。今年一到三季度 Magic 系列的累计出货量同比增幅达到近 30%。

根据 Omdia 智能手机市场监测报告显示,中国折叠手机市场依然成高速增长态势。作为智能手机的新形态,折叠智能手机的研发和设计是具有很高技术创新性要求的,关键零部件的定制比例很高,总量小,良率低,成本高是目前的特点。而在保证产品稳定性前提下,做到产品极致的轻薄化和成本低,对于产业来说是个考验。

Omdia 获悉,荣耀在 11 月 23 号折叠智能手机全年累计产量已经突破 100 万台,其中近 8 成是来自于荣耀在 7 月中旬发布的全新折叠产品 Magic V2,这款产品凭借极致轻薄的尺寸参数,打破并保持了今年下半年折叠智能手机上市新品的轻薄纪录,获得市场高度认可,荣耀也随即增加采购订单并积极备产。荣耀 Magic V2 的规划总量相较上一代产品数倍增长,它也成为荣耀迄今为止规划量最大的折叠项目,这也是国内单品产量爬坡最快的折叠产品,仅用 4 个多月时间突破 80 万台。

由于三星在全球高端市场的覆盖和品牌优势,预计未来依然可以保持其作为折叠智能手机出货份额的领导者地位,但随着中国品牌的产品体验逐步升级和国际市场的拓展,未来在折叠市场中的份额将会快速增加。如荣耀,传音,联想,OPPO 等公司均已面向海外市场销售折叠智能手机,为国外的消费者提供除三星品牌以外的更多样的折叠产品选择。

荣耀在中国折叠智能手机市场出货排名第一 (23Q3),在建成仅两年的智能制造产业园区,用不到一年时间就以高良品率突破 100 万台折叠屏产量大关,验证荣耀把研发创新与智能制造深度融合的全链路高品质制造模式,已具备了高端智能手机产品的大规模制造能力。中国厂商通过推动产业链上下游高度协同,在关键设计瓶颈上攻坚克难,开始形成初步的产业优势,推动产业向高附加值产品升级。

Omdia 消费电子研究手机行业首席分析李泽刚 (Zaker Li) 说道:「随着折叠智能手机的解决方案和供应链体系的成熟,也将推动折叠形态的产品扩展到其他领域,延伸出更多市场潜在机会:比如平板电脑和笔记本电脑等更多品类的产品。不仅如此,折叠智能手机材料和技术也可以在传统智能手机设计上应用,传统手机的使用体验将再次得到升级。「

他表示,折叠智能手机产业链的发展也将促进中国企业的技术和研发创新能力提升,尤其是在先进材料研发,精密加工技术,智能制造设备领域,也将推动中国产业向精密化、高端化、智能化的高附加值方向发展,从而提升中国制造业的整体水平和竞争力,为中国企业带来更多的商业机会和持续的竞争优势。

]]>
Omdia 最新发布的报告显示,在人工智能需求的持续推动下,相比于 2023 年第二季度,半导体行业总产值在 2023 年第三季度增长了 8.4%,达到 1390 亿美元。在此前连续五个季度下降之后,现如今该行业终于迎来了连续两个季度的增长。

Omdia 半导体研究首席分析师 Cliff Leimbach 说道:「半导体行业的增长并不完全得益于人工智能需求,因为其他半导体细分市场也同样在增长。在排名前十五位的公司中,有十四家在 2023 年第三季度实现了半导体营收的季度增长,在我们追踪的 126 家公司中,有 80 家 (63%) 在第三季度实现了收入增长。」

人工智能继续推动半导体市场发展,行业整体呈现向好态势

人工智能需求仍是半导体行业第三季度的重要主题。两家受益于人工智能的公司英伟达和 SK 海力士继续赢得半导体营收的大幅增长。英伟达主要生产用于数据密集型人工智能的图形处理器 (GPU),其半导体营收增长了 18%,达到 120 亿美元,相较于去年第三季度半导体营收为 46 亿美元,同期增长 73 亿美元。SK 海力士在人工智能应用的高带宽储存器 (HBM) 领域占据主导地位,其半导体营收增长了 26%,达到 67 亿美元。

除此之外半导体市场的其他领域在第三季度也有所增长。随着新款智能手机的发布,无线细分市场也渐有起色,库存动态优于前几个季度。汽车行业的增长率较低,第三季度仅增长了 4.3%,但这一细分市场始终保持稳定,上一次下降还要追溯到 2020 年第三季度,目前占半导体总营收的 13.5%。消费细分市场也有所提升,比 2023 年第二季度增长了 7.9%,展现出半导体市场营收增长的覆盖广度。

内存市场势态持续向好

自 2022 年起,半导体市场陷入衰退,存储市场遭受最大冲击,从 2022 年第一季度的 436 亿美元下降到 2023 年第一季度的 193 亿美元,而后从 2023 年第一季度开始触底反弹,从 193 亿美元增至 2023 年第三季度的 245 亿美元。与第二季度相比,五大内存制造商中有四家的第三季度内存芯片营收实现两位数增长。人工智能需求占了很大一部分,但其他应用的需求也在不断增加。

韩国 SK 海力士第三季度在 DRAM 领域的市场份额已达到 35%。随着高带宽内存 (HBM) 在人工智能时代的重要性日益增加,预计 DRAM 行业将转向以质量为中心的赢家通吃的结构。Omdia 高级研究员 Jung Sung-kong 表示,「从人工智能增长中受益匪浅的行业之一是 DRAM」。预测 DRAM 行业未来将发生重大变化。

市场份额前十名

英伟达的市场份额排名不断提升,已跃居为营收排名第二的半导体公司,成功取代三星和英特尔在榜首位置交替霸榜的历史。多年来,这两家公司一直占据着半导体市场第一和第二的宝座。

手机市场回暖?

根据 Omdia 智能手机市场监测报告显示,折叠智能手机在中国的出货规模超过 170 万台,同比增长 77%,环比增长 46%。各家折叠新品也在本季度集中发布,荣耀的 Magic V2 由于其多项领先的升级,带来市场旺盛需求,助力荣耀在第三季度以 28% 的市场份额,夺得中国折叠智能手机市场出货的第一名,与其去年同期折叠智能手机出货量相比,增长了 17 倍。

同时,荣耀 Magic V2 也以 25% 的市场份额,成为本季度中国折叠手机市场排名第一的单品。届时,由于荣耀 Magic V2 的带动,在其高端 Magic 系列智能手机出货量同比增长了 107.5%,环比增长 20%。今年一到三季度 Magic 系列的累计出货量同比增幅达到近 30%。

根据 Omdia 智能手机市场监测报告显示,中国折叠手机市场依然成高速增长态势。作为智能手机的新形态,折叠智能手机的研发和设计是具有很高技术创新性要求的,关键零部件的定制比例很高,总量小,良率低,成本高是目前的特点。而在保证产品稳定性前提下,做到产品极致的轻薄化和成本低,对于产业来说是个考验。

Omdia 获悉,荣耀在 11 月 23 号折叠智能手机全年累计产量已经突破 100 万台,其中近 8 成是来自于荣耀在 7 月中旬发布的全新折叠产品 Magic V2,这款产品凭借极致轻薄的尺寸参数,打破并保持了今年下半年折叠智能手机上市新品的轻薄纪录,获得市场高度认可,荣耀也随即增加采购订单并积极备产。荣耀 Magic V2 的规划总量相较上一代产品数倍增长,它也成为荣耀迄今为止规划量最大的折叠项目,这也是国内单品产量爬坡最快的折叠产品,仅用 4 个多月时间突破 80 万台。

由于三星在全球高端市场的覆盖和品牌优势,预计未来依然可以保持其作为折叠智能手机出货份额的领导者地位,但随着中国品牌的产品体验逐步升级和国际市场的拓展,未来在折叠市场中的份额将会快速增加。如荣耀,传音,联想,OPPO 等公司均已面向海外市场销售折叠智能手机,为国外的消费者提供除三星品牌以外的更多样的折叠产品选择。

荣耀在中国折叠智能手机市场出货排名第一 (23Q3),在建成仅两年的智能制造产业园区,用不到一年时间就以高良品率突破 100 万台折叠屏产量大关,验证荣耀把研发创新与智能制造深度融合的全链路高品质制造模式,已具备了高端智能手机产品的大规模制造能力。中国厂商通过推动产业链上下游高度协同,在关键设计瓶颈上攻坚克难,开始形成初步的产业优势,推动产业向高附加值产品升级。

Omdia 消费电子研究手机行业首席分析李泽刚 (Zaker Li) 说道:「随着折叠智能手机的解决方案和供应链体系的成熟,也将推动折叠形态的产品扩展到其他领域,延伸出更多市场潜在机会:比如平板电脑和笔记本电脑等更多品类的产品。不仅如此,折叠智能手机材料和技术也可以在传统智能手机设计上应用,传统手机的使用体验将再次得到升级。「

他表示,折叠智能手机产业链的发展也将促进中国企业的技术和研发创新能力提升,尤其是在先进材料研发,精密加工技术,智能制造设备领域,也将推动中国产业向精密化、高端化、智能化的高附加值方向发展,从而提升中国制造业的整体水平和竞争力,为中国企业带来更多的商业机会和持续的竞争优势。

]]>
//www.cghlg.com/article/202312/453761.htm Mon, 11 Dec 2023 13:36:27 +0800
<![CDATA[ DRAM掀起新一轮热潮,封装技术发挥关键作用 ]]> 处理器,无论是 CPU、GPU、FPGA,还是 NPU,要想正常运行,都离不开 RAM,特别是 DRAM(动态随机存取存储器),它已经成为各种系统(PC,手机,数据中心等)中内存的代名词。

根据应用不同,系统对芯片面积和功耗有不同要求,因此,DRAM 被分成标准 DDR(双倍数据速率)、LPDDR、GDDR 等,当然,主要就是这三类。其中,DDR 是相对于 SDR(单数据速率)而言的,将 I/O 时钟加倍了,主要为 PC 和数据中心的 CPU 服务,目前已经发展到 DDR5;LPDDR 是低功耗的 DDR,主要用于手机等便携式设备;GDDR 则是 GPU 专用 DRAM。

在高性能计算(HPC)和 AI 发展如火如荼的当下,一个很大的瓶颈就是处理器与 DRAM 之间的通信速度,越来越跟不上应用需求的前进脚步。对此,人们想出了多种方法,以提升通信带宽,如不断提升 DRAM 本身的接口性能,以及存算一体等,但从实际应用情况来看,只提升接口性能是不够用的,而存算一体短期内还无法实现。在这种情况下,推出更好的 DRAM 与 CPU、GPU 等处理器的结合形式,也就是不断让封装技术进步,成为了业界提升通信带宽的普遍共识。

DRAM 的常用封装技术

DRAM 封装技术几经变迁,从双列直插封装 DIP、J 型引脚小外形封装 SOJ、薄型小尺寸封装 TSOP、底部引线塑料封装 BLP、焊球阵列封装 BGA(F-BGA、W-BGA),发展到芯片级封装 CSP、堆叠封装等高性能封装方式。在成本允许的条件下,可尽量采用先进的封装技术,以提升 DRAM 性能。

目前,堆叠封装技术,特别是系统级封装(SiP),可以在有限的空间内成倍提高存储器容量,或实现电子设计功能,解决空间、互连受限等问题。此外,由于封装设计的变化,引线键合封装因具有灵活性、可靠性和低成本的优点而备受青睐。倒装(Flip Chip,FC)芯片于 2016 年开始进军 DRAM 封装,由于高带宽需求的推动,倒装芯片在 PC、服务器中的采用率不断增加。目前,系统对高带宽、高性能、低延迟的综合要求很高,硅通孔(TSV)很适合高带宽内存封装需求。

在便携式电子设备应用中(如手机),DRAM 的封装尺寸会直接影响到产品的体积大小,所以,封装技术要向轻、薄、短、小方向发展。

不同应用的产品尺寸、性能、形态等存在差异,采用的封装形式也不同。其中,移动终端 DRAM(LPDDR)多以 WB-FBGA 为主,PC 和服务器用的标准型 DDR 则以 FBGA、FC 为主。

以 DDR 为例,FBGA 线长较短,信号传输好且成本较低,曾经被三星、SK 海力士和美光等主流厂商广泛采用,随着内存条产品发展到 DDR4,三星、SK 海力士的很多产品开始转向 FC 封装,其传输路径更短,电性能表现更好。尽管 FC 的成本比 FBGA 高,但得益于规模效应,两者成本基本持平。现在的高端产品,如 DDR5,性能要求很高,目前多采用 TSV 堆叠封装。TSV 采用纵向穿越结构,通过导线将不同层的芯片相互连接起来,这种连接方式不仅提供了更高的信号带宽,还减少了电阻和电感,提高了芯片的整体性能。通过 TSV 把多芯片的 I/O 连接,同时实现多芯片堆叠来扩容并实现更小的信号损失。

LPDDR 与处理器紧密集成在一起,或者焊接在主板上,靠近 CPU,或者直接在处理器(在这种情况下,通常是 SoC)的顶部以 package-on-package 封装的形式出现,这种形式越来越常见。紧密的集成可减少将内存连接到处理器的长导线中的电阻,从而降低功耗。

总体来看,引线键合是主要的封装方法,广泛应用于移动存储器,其次是倒装芯片封装,其在 DRAM 市场不断拓展。

HBM 带动封装技术再创新

目前,AI 服务器对 HBM(高带宽内存)的需求量越来越大,因为 HBM 大大缩短了走线距离,从而大幅提升了 AI 处理器运算速度。

HBM 经历了几代产品,包括 HBM、HBM2、HBM2e 和 HMB3,最新的 HBM3e 刚出样品。HBM 是一种应用于 CPU 和 GPU 的新型内存,它将多个 DDR 芯片堆叠在一起后和 GPU 封装在一起,主要通过 TSV 技术进行芯片堆叠,通过贯通所有芯片层的柱状通道传输信号、指令和电流,以增加吞吐量并克服单一封装内带宽的限制,实现了大容量、高带宽的 DDR 组合阵列。HBM3 带宽可以达到 819GB/s。

目前,全球三大存储芯片厂商都在开发 HBM 技术和产品,其中,三星和 SK 海力士已经量产了 HBM3,主要用于英伟达的 H100、H800 和 AMD 的 MI300 系列 GPU,三星预计于 2024 年第一季度送样 HBM3e,下半年量产,SK 海力士则于近期给英伟达送去了 HBM3e 样品,其最新的 GPU 芯片 H200 已经标配了 HBM3e。美光(Micron)则相对落后,该公司选择跳过 HBM3,直接开发 HBM3e。

传统封装技术已经难以满足 HBM 的需求,而台积电的 CoWoS(chip-on-wafer-on-substrate)封装则是较为理想的方案。

CoWoS 是一种集成逻辑和 HBM 芯片的 2.5D 封装技术,在这种封装中,处理器和 HBM 在硅中介层上并排键合,以形成具有细间距和器件之间高密度互连布线的晶圆上芯片(CoW)。每个 HBM 都由带有微凸块的 DRAM 和一个带有 TSV 的逻辑基座组成,然后完成在基板上具有较大凸块的 TSV 中介层的组装。

多年来,CoWoS 一直在追求不断增加硅中介层尺寸,以支持封装中的处理器和 HBM 堆栈。目前,CoW 是倒装芯片键合最常用的组装方法,它采用了一种称为混合键合方法的无凹凸技术。

CoWoS 产能不足是近期 AI 芯片出货量的主要瓶颈,以台积电为代表的厂商正在扩充相关产能,以满足市场需求。

先进封装大战

台积电在 2011 年就开始布局 CoWoS 了,并陆续获得多个客户订单,但由于报价昂贵,加上相应的需求有限,因此,前些年的产能没有明显增加,但是,进入 2023 年以来,特别是 AIGC 需求爆发,台积电开始大幅扩建 CoWoS 产线。

目前,除了台积电,英特尔、三星等芯片制造大厂也在加大先进封装投入力度。

英特尔方面,预计该公司最新先进封装服务将在 2026 年投入量产。不同于其它竞争对手主要采用硅制程的中间层技术,英特尔选择用玻璃基板,其成本会相对较高,业界采用该方案的厂商较少。

对于赶超台积电 HBM 先进封装技术最为积极的是三星。

2021 年,三星推出了 2.5D 封装技术 H-Cube。今年 9 月,据 Etnews 报道,为了追上台积电 AI 芯片的先进封装,三星将推出名为 FO-PLP 的 2.5D 封装技术。据悉,FO-PLP 可将处理器和 HBM 整合到硅中介层。

据悉,FO-PLP 的基板是方形,而台积电的 CoWoS 是圆形基板,FO-PLP 不会有边缘基板损耗问题,但由于要将芯片由晶圆移植到方形基板,其作业较为复杂。

近期,三星还推出了最新的封装技术 SAINT,包括 SAINT S(垂直堆叠内存和 CPU),SAINT D(用于 CPU、GPU 和内存的垂直封装),SAINT L(用于堆叠应用处理器)。

消息人士称,SAINT S 已经通过了验证测试,在与客户进行进一步测试后,三星将于 2024 年推出相应的商业服务。

最近,三星 HBM3 及其封装服务通过了 AMD 的质量测试,后者计划将这些芯片和服务用于其最新的 GPU 芯片 Instinct MI300X。

此前,AMD 曾考虑使用台积电的封装服务,但由于后者的 CoWoS 产能严重供不应求,AMD 不得不改变计划。

据韩国消息人士透露,三星还在与英伟达进行 HBM3 芯片技术验证,并提供封装服务。一旦工作完成,预计三星将负责英伟达 H100 与 HBM3 的封装,据悉,这两家公司签署了一项服务和供应协议。

今年 6 月,三星成立了多芯片集成联盟,目的是与存储芯片公司、外包半导体封装和测试公司(OSAT),以及芯片设计公司共同推进封装技术。

在先进封装技术研发方面,没有芯片工厂的 AMD 也是不遗余力,特别是在 HBM 和 GPU、CPU 封装方面。

在 ISSCC 2023 国际固态电路大会上,AMD 提出了多种新的封装设想,其中之一是在服务器 CPU 模块内部,直接堆叠内存,而且是多层堆叠。一种方式是将 CPU 模块和内存模块并排封装在硅中介层上,另一种方式是在计算模块上方直接堆叠内存,有点像手机 SoC。

AMD 表示,这种设计可以让计算核心以更短的距离、更高的带宽、更低的延迟访问内存,还能降低功耗。

如果堆叠内存容量足够大,主板上的 DIMM 插槽都可以省了。

AMD 甚至考虑在 Instinct 系列 GPU 已经整合封装 HBM 的基础上,继续堆叠 DRAM,但只有一层,容量不会太大。这样做的最大好处是一些关键算法可以直接在此 DRAM 内执行,不必在 CPU 和独立内存之间往复通信,从而提升性能、降低功耗。

AMD 还设想在 2D/2.5D/3D 封装内部,集成更多模块,包括内存、统一封装光网络通道物理层、特定域加速器等,并引入高速标准化的芯片间接口通道(UCIe)。

结语

3D 封装是未来发展方向,这种多层结构有很多优点:一、它通过增加芯片层次和连接方式,实现了更高的芯片集成度和功能密度;二、多层堆叠结构减小了整个芯片的体积,使得电子设备变得更加轻薄便携;三、多层堆叠提供了更高的性能和效率,可进一步优化电子设备的处理速度和能耗。

HBM 所涉及的封装已经是当下最先进的内存封装技术了,不过,技术进步的脚步一直没有停歇,在扩充现有先进技术产线的基础上,各大厂商还在研发更具前瞻性的技术。

据悉,三星电子先进封装(AVP)事业组正在研发新一代内存技术「Cache DRAM」,目标是在 2025 年开始量产。与 HBM 相比,Cache DRAM 功耗效率可改善 60%,延迟将减少 50%。

封装技术方面,Cache DRAM 与 HBM 也有很大区别,HBM 是水平连接至 GPU,Cache DRAM 则是与 GPU 垂直连接。

当然,不止三星,英特尔、台积电、日月光等大厂都在开发新的内存封装技术,但具体情况还不得而知。

在研发先进封装技术的道路上,需要解决的难题也很多,例如,随着堆叠层数的增加,热量的管理问题越来越凸出,因为在紧密堆叠的芯片中,热量散发变得更加困难。对此,科学家们正在不断寻找解决方案,以保持芯片高性能工作状态的稳定性和可靠性。

]]>
处理器,无论是 CPU、GPU、FPGA,还是 NPU,要想正常运行,都离不开 RAM,特别是 DRAM(动态随机存取存储器),它已经成为各种系统(PC,手机,数据中心等)中内存的代名词。

根据应用不同,系统对芯片面积和功耗有不同要求,因此,DRAM 被分成标准 DDR(双倍数据速率)、LPDDR、GDDR 等,当然,主要就是这三类。其中,DDR 是相对于 SDR(单数据速率)而言的,将 I/O 时钟加倍了,主要为 PC 和数据中心的 CPU 服务,目前已经发展到 DDR5;LPDDR 是低功耗的 DDR,主要用于手机等便携式设备;GDDR 则是 GPU 专用 DRAM。

在高性能计算(HPC)和 AI 发展如火如荼的当下,一个很大的瓶颈就是处理器与 DRAM 之间的通信速度,越来越跟不上应用需求的前进脚步。对此,人们想出了多种方法,以提升通信带宽,如不断提升 DRAM 本身的接口性能,以及存算一体等,但从实际应用情况来看,只提升接口性能是不够用的,而存算一体短期内还无法实现。在这种情况下,推出更好的 DRAM 与 CPU、GPU 等处理器的结合形式,也就是不断让封装技术进步,成为了业界提升通信带宽的普遍共识。

DRAM 的常用封装技术

DRAM 封装技术几经变迁,从双列直插封装 DIP、J 型引脚小外形封装 SOJ、薄型小尺寸封装 TSOP、底部引线塑料封装 BLP、焊球阵列封装 BGA(F-BGA、W-BGA),发展到芯片级封装 CSP、堆叠封装等高性能封装方式。在成本允许的条件下,可尽量采用先进的封装技术,以提升 DRAM 性能。

目前,堆叠封装技术,特别是系统级封装(SiP),可以在有限的空间内成倍提高存储器容量,或实现电子设计功能,解决空间、互连受限等问题。此外,由于封装设计的变化,引线键合封装因具有灵活性、可靠性和低成本的优点而备受青睐。倒装(Flip Chip,FC)芯片于 2016 年开始进军 DRAM 封装,由于高带宽需求的推动,倒装芯片在 PC、服务器中的采用率不断增加。目前,系统对高带宽、高性能、低延迟的综合要求很高,硅通孔(TSV)很适合高带宽内存封装需求。

在便携式电子设备应用中(如手机),DRAM 的封装尺寸会直接影响到产品的体积大小,所以,封装技术要向轻、薄、短、小方向发展。

不同应用的产品尺寸、性能、形态等存在差异,采用的封装形式也不同。其中,移动终端 DRAM(LPDDR)多以 WB-FBGA 为主,PC 和服务器用的标准型 DDR 则以 FBGA、FC 为主。

以 DDR 为例,FBGA 线长较短,信号传输好且成本较低,曾经被三星、SK 海力士和美光等主流厂商广泛采用,随着内存条产品发展到 DDR4,三星、SK 海力士的很多产品开始转向 FC 封装,其传输路径更短,电性能表现更好。尽管 FC 的成本比 FBGA 高,但得益于规模效应,两者成本基本持平。现在的高端产品,如 DDR5,性能要求很高,目前多采用 TSV 堆叠封装。TSV 采用纵向穿越结构,通过导线将不同层的芯片相互连接起来,这种连接方式不仅提供了更高的信号带宽,还减少了电阻和电感,提高了芯片的整体性能。通过 TSV 把多芯片的 I/O 连接,同时实现多芯片堆叠来扩容并实现更小的信号损失。

LPDDR 与处理器紧密集成在一起,或者焊接在主板上,靠近 CPU,或者直接在处理器(在这种情况下,通常是 SoC)的顶部以 package-on-package 封装的形式出现,这种形式越来越常见。紧密的集成可减少将内存连接到处理器的长导线中的电阻,从而降低功耗。

总体来看,引线键合是主要的封装方法,广泛应用于移动存储器,其次是倒装芯片封装,其在 DRAM 市场不断拓展。

HBM 带动封装技术再创新

目前,AI 服务器对 HBM(高带宽内存)的需求量越来越大,因为 HBM 大大缩短了走线距离,从而大幅提升了 AI 处理器运算速度。

HBM 经历了几代产品,包括 HBM、HBM2、HBM2e 和 HMB3,最新的 HBM3e 刚出样品。HBM 是一种应用于 CPU 和 GPU 的新型内存,它将多个 DDR 芯片堆叠在一起后和 GPU 封装在一起,主要通过 TSV 技术进行芯片堆叠,通过贯通所有芯片层的柱状通道传输信号、指令和电流,以增加吞吐量并克服单一封装内带宽的限制,实现了大容量、高带宽的 DDR 组合阵列。HBM3 带宽可以达到 819GB/s。

目前,全球三大存储芯片厂商都在开发 HBM 技术和产品,其中,三星和 SK 海力士已经量产了 HBM3,主要用于英伟达的 H100、H800 和 AMD 的 MI300 系列 GPU,三星预计于 2024 年第一季度送样 HBM3e,下半年量产,SK 海力士则于近期给英伟达送去了 HBM3e 样品,其最新的 GPU 芯片 H200 已经标配了 HBM3e。美光(Micron)则相对落后,该公司选择跳过 HBM3,直接开发 HBM3e。

传统封装技术已经难以满足 HBM 的需求,而台积电的 CoWoS(chip-on-wafer-on-substrate)封装则是较为理想的方案。

CoWoS 是一种集成逻辑和 HBM 芯片的 2.5D 封装技术,在这种封装中,处理器和 HBM 在硅中介层上并排键合,以形成具有细间距和器件之间高密度互连布线的晶圆上芯片(CoW)。每个 HBM 都由带有微凸块的 DRAM 和一个带有 TSV 的逻辑基座组成,然后完成在基板上具有较大凸块的 TSV 中介层的组装。

多年来,CoWoS 一直在追求不断增加硅中介层尺寸,以支持封装中的处理器和 HBM 堆栈。目前,CoW 是倒装芯片键合最常用的组装方法,它采用了一种称为混合键合方法的无凹凸技术。

CoWoS 产能不足是近期 AI 芯片出货量的主要瓶颈,以台积电为代表的厂商正在扩充相关产能,以满足市场需求。

先进封装大战

台积电在 2011 年就开始布局 CoWoS 了,并陆续获得多个客户订单,但由于报价昂贵,加上相应的需求有限,因此,前些年的产能没有明显增加,但是,进入 2023 年以来,特别是 AIGC 需求爆发,台积电开始大幅扩建 CoWoS 产线。

目前,除了台积电,英特尔、三星等芯片制造大厂也在加大先进封装投入力度。

英特尔方面,预计该公司最新先进封装服务将在 2026 年投入量产。不同于其它竞争对手主要采用硅制程的中间层技术,英特尔选择用玻璃基板,其成本会相对较高,业界采用该方案的厂商较少。

对于赶超台积电 HBM 先进封装技术最为积极的是三星。

2021 年,三星推出了 2.5D 封装技术 H-Cube。今年 9 月,据 Etnews 报道,为了追上台积电 AI 芯片的先进封装,三星将推出名为 FO-PLP 的 2.5D 封装技术。据悉,FO-PLP 可将处理器和 HBM 整合到硅中介层。

据悉,FO-PLP 的基板是方形,而台积电的 CoWoS 是圆形基板,FO-PLP 不会有边缘基板损耗问题,但由于要将芯片由晶圆移植到方形基板,其作业较为复杂。

近期,三星还推出了最新的封装技术 SAINT,包括 SAINT S(垂直堆叠内存和 CPU),SAINT D(用于 CPU、GPU 和内存的垂直封装),SAINT L(用于堆叠应用处理器)。

消息人士称,SAINT S 已经通过了验证测试,在与客户进行进一步测试后,三星将于 2024 年推出相应的商业服务。

最近,三星 HBM3 及其封装服务通过了 AMD 的质量测试,后者计划将这些芯片和服务用于其最新的 GPU 芯片 Instinct MI300X。

此前,AMD 曾考虑使用台积电的封装服务,但由于后者的 CoWoS 产能严重供不应求,AMD 不得不改变计划。

据韩国消息人士透露,三星还在与英伟达进行 HBM3 芯片技术验证,并提供封装服务。一旦工作完成,预计三星将负责英伟达 H100 与 HBM3 的封装,据悉,这两家公司签署了一项服务和供应协议。

今年 6 月,三星成立了多芯片集成联盟,目的是与存储芯片公司、外包半导体封装和测试公司(OSAT),以及芯片设计公司共同推进封装技术。

在先进封装技术研发方面,没有芯片工厂的 AMD 也是不遗余力,特别是在 HBM 和 GPU、CPU 封装方面。

在 ISSCC 2023 国际固态电路大会上,AMD 提出了多种新的封装设想,其中之一是在服务器 CPU 模块内部,直接堆叠内存,而且是多层堆叠。一种方式是将 CPU 模块和内存模块并排封装在硅中介层上,另一种方式是在计算模块上方直接堆叠内存,有点像手机 SoC。

AMD 表示,这种设计可以让计算核心以更短的距离、更高的带宽、更低的延迟访问内存,还能降低功耗。

如果堆叠内存容量足够大,主板上的 DIMM 插槽都可以省了。

AMD 甚至考虑在 Instinct 系列 GPU 已经整合封装 HBM 的基础上,继续堆叠 DRAM,但只有一层,容量不会太大。这样做的最大好处是一些关键算法可以直接在此 DRAM 内执行,不必在 CPU 和独立内存之间往复通信,从而提升性能、降低功耗。

AMD 还设想在 2D/2.5D/3D 封装内部,集成更多模块,包括内存、统一封装光网络通道物理层、特定域加速器等,并引入高速标准化的芯片间接口通道(UCIe)。

结语

3D 封装是未来发展方向,这种多层结构有很多优点:一、它通过增加芯片层次和连接方式,实现了更高的芯片集成度和功能密度;二、多层堆叠结构减小了整个芯片的体积,使得电子设备变得更加轻薄便携;三、多层堆叠提供了更高的性能和效率,可进一步优化电子设备的处理速度和能耗。

HBM 所涉及的封装已经是当下最先进的内存封装技术了,不过,技术进步的脚步一直没有停歇,在扩充现有先进技术产线的基础上,各大厂商还在研发更具前瞻性的技术。

据悉,三星电子先进封装(AVP)事业组正在研发新一代内存技术「Cache DRAM」,目标是在 2025 年开始量产。与 HBM 相比,Cache DRAM 功耗效率可改善 60%,延迟将减少 50%。

封装技术方面,Cache DRAM 与 HBM 也有很大区别,HBM 是水平连接至 GPU,Cache DRAM 则是与 GPU 垂直连接。

当然,不止三星,英特尔、台积电、日月光等大厂都在开发新的内存封装技术,但具体情况还不得而知。

在研发先进封装技术的道路上,需要解决的难题也很多,例如,随着堆叠层数的增加,热量的管理问题越来越凸出,因为在紧密堆叠的芯片中,热量散发变得更加困难。对此,科学家们正在不断寻找解决方案,以保持芯片高性能工作状态的稳定性和可靠性。

]]>
//www.cghlg.com/article/202312/453760.htm Mon, 11 Dec 2023 13:33:52 +0800
<![CDATA[ 国产晶圆代工厂,开出多少产能? ]]> 根据统计数据,2023 年到 2027 年,全球晶圆代工成熟制程(28nm 以上)和先进制程(16nm 以下)的产能比重将维持在 7:3。在这一趋势下,中国晶圆厂尤其擅长成熟制程,因此政策鼓励本土化生产,产能扩充迅速。

本文将探讨中国晶圆代工产能的现状及发展趋势。

前三季度,晶圆代工双雄产能

2023 年 Q1,中芯国际的月产能为 73.225 万片 8 英寸约当晶圆,产能利用率为 68.1%,季度销售晶圆数量为 125.17 万片。按照产品尺寸分类,Q1 中芯国际 8 英寸晶圆占晶圆业务收入的 28.1%,12 英寸占晶圆业务收入的 71.9%。

Q2,中芯国际的月产能为 75.425 万片 8 英寸约当晶圆,产能利用率为 78.3%,季度销售晶圆数量为 140.3 万片。按照产品尺寸分类,Q2 中芯国际 8 英寸晶圆占晶圆业务收入的 25.3%,12 英寸晶圆占晶圆业务收入的 74.7%。

Q3,中芯国际的月产能为 79.575 万片 8 英寸约当晶圆,产能利用率为 77.1%,季度销售晶圆数量为 153.68 万片。按照产品尺寸分类,Q3 中芯国际 8 英寸晶圆占晶圆业务收入的 26%,12 英寸晶圆占业务收入的 74%。

2023 年前三个季度,中芯国际的合计晶圆出货量为 419.15 万片 8 英寸约当晶圆。8 英寸晶圆占晶圆业务收入的平均数为 26.47%,12 英寸晶圆占晶圆业务收入的平均数为 73.53%。

再看华虹。2023 年前三个季度,华虹的月产能分别为 32.4、34.7 和 35.8 万片,产能利用率分别为 103.5%、102.7% 和 86.8%,季度销售晶圆数量分别为 103.6、107.4 和 107.7 万片。

按照产品尺寸分类,Q1 华虹 12 英寸产能为 6.5 万片/月,8 英寸产能利用率 107.1%,12 英寸产能利用率 99.0%。

Q2,来自 8 英寸晶圆和 12 英寸晶圆的销售收入分别为 3.612 亿美元及 2.701 亿美元,分别占比 57.2% 和 42.8%。8 英寸晶圆产能利用率高达 112.0%,12 英寸晶圆产能利用率也高达 92.9%。

Q3,8 英寸产能 17.8 万片、12 英寸产能 8 万片。8 英寸产能利用率为 95.3%,12 英寸产能利用率为 78.4%,总体产能利用率环比、同比均下降。

2023 年前三个季度,华虹的合计晶圆出货量为 318.7 万片 8 英寸约当晶圆。

2021 年,中芯国际的晶圆月产能为 62.1 万片约当 8 英寸晶圆,2022 年公司晶圆月产能为 71.4 万片,2023 年前三个季度晶圆月产能约为 76.1 万片。2021 年华虹的晶圆月产能为 31.3 万片约当 8 英寸晶圆,2022 年公司晶圆月产能为 32.4 万片,2023 年前三个季度晶圆月产能约为 34.3 万片。连续三年来,两家晶圆代工公司的月产能都呈增长态势。

除此之外,中国的第三大晶圆代工厂晶合集成也在铆足力气加快生产。晶合集成近期接受投资者调研时称,公司目前的月产能为 11 万片左右,今年计划在 55 纳米制程上再扩充 5 千片/月的产能。2024 年公司计划根据市场的复苏情况弹性规划扩产计划。

接下来看一下中国晶圆厂建设现状

中国晶圆厂建设现状

据 TrendForce 统计,除去 7 家暂时停工的晶圆厂,中国目前已建成的晶圆厂有 44 家(12 英寸晶圆厂 25 座、6 英寸晶圆厂 4 座、8 英寸晶圆厂及产线 15 座),另外还有 22 家晶圆厂在建(12 英寸晶圆厂 15 座,8 英寸晶圆厂 8 座)。

12 英寸晶圆产能建设

据统计,中国目前运营着 40 座 12 英寸晶圆厂,其中包括在建的 12 英寸固定产能晶圆厂 15 座,当下的晶圆月产能总计约 113.9 万片。

目前,先进制程的研发和生产主要集中于 12 英寸上,受到手机、PC、数据中心、自动驾驶等下游应用高速发展的影响,12 英寸晶圆需求量快速上升,逐渐成为行业主流。另外,从成本角度,生产 12 英寸晶圆的成本比生产 8 英寸晶圆高出约 50%。然而,12 英寸晶圆的芯片输出几乎是 8 英寸晶圆的三倍,导致每个芯片的成本降低了约 30%。随着制造工艺的改进和良率的提高,预计未来 12 英寸晶圆的成本将进一步下降。

可以看到,行业趋势正在促使设备厂商将业务重心倾向 12 英寸。中国也在 12 英寸晶圆领域迅速扩张。除了建成和在建的 40 座 12 英寸晶圆厂,中国市场上还有 9 座正在计划中。统计中的 49 座晶圆厂的规划产能总计 417.3 万片/月。

中国的晶圆厂也将 12 英寸作为公司的销售主力,即使今年晶圆代工双雄均出现业绩承压现象,但并未阻挡它们扩建产能的步伐。

今年 Q3,中芯国际资本支出环比增长约 26% 至 153.10 亿元,并将今年全年资本开支上调到 75 亿美元左右,同比提升约 18%。根据中芯国际 2022 年半年报,该公司资本开支主要用于产能扩充和新厂基建。资本开支的大幅上调,意味着中芯国际未来产能将进一步提高。

与此同时,华虹公司也在致力于提升整体产能。今年 9 月,华虹公司使用募集资金向全资子公司华虹宏力增资 126.32 亿元,主要用于华虹宏力向华虹制造(无锡)项目的实施主体华虹半导体制造(无锡)有限公司增资,其余将用于 8 英寸厂优化升级项目、特色工艺技术创新研发项目等。

该公司表示,无锡 12 英寸生产线项目产能处于不断爬坡,截至第三季度末,公司折合 8 英寸生产线月产能增加到 35.8 万片。与此同时,华虹公司第二条 12 英寸生产线华虹无锡制造项目也正在紧锣密鼓地推进中。

足以见得,12 英寸晶圆厂在代工领域地位非同一般。国际半导体产业协会(SEMI)预计,到 2026 年,全球 12 英寸晶圆月产能将达到 960 万片,创下历史新高。其中,美国产能在全球的占比将自 2022 年的 0.2%,大幅提升 45 倍至近 9%,中国大陆也将自 2022 年的 22%,提升至 25%。

8 英寸快速发展

8 英寸晶圆多被认为是成熟落后的芯片,主要用于制造 60nm 及以上的芯片。尽管相对于 12 英寸晶圆来说,8 英寸晶圆的制造工艺相对不那么先进,但它仍然在半导体产业中扮演着重要的角色,比如功率器件、电源管理芯片、CMOS 图像传感器、MEMS 传感器、RF 收发器、滤波器,PA、ADC、DAC 等,大都在 8 英寸晶圆产线投产。

下表为中国大陆的 8 英寸晶圆产能建设情况。

据统计,中国目前运营着 22 座 8 英寸晶圆代工厂,其中包括在建的 8 英寸晶圆代工厂 7 座,总计月产能约为 104.1 万片。

作为全球 8 英寸晶圆产能占比最高的地区之一,中国大陆的 8 英寸晶圆制造工艺在全球半导体产业中具有重要地位。尽管相对于一些发达国家来说,中国大陆的 8 英寸晶圆制造工艺相对不那么先进,但其产能优势十分明显。

根据 SEMI 的数据,中国在 8 英寸硅片方面保持着快速发展。预计到 2026 年,中国 8 英寸硅片市场占有率将提升至 22%,月产能将达到 170 万片,位居全球第一。到 2025 年底,华虹、思恩、思兰、阳东微电子、GTA 半导体、中芯国际、中科、中科、华中、华德、易基等公司预计将新建 9 座 8 英寸晶圆厂。

从这些数据可以看出,中国大陆在全球 8 英寸晶圆产能方面表现突出。 到 2024 年底,中国大陆的目标是建立 32 座大型晶圆厂,并且都将专注于成熟工艺。

最后看 6 英寸晶圆产能的建设情况。

6 英寸晶圆厂超 500 家,价格优势不复

统计数据显示,中国目前运营的 6 英寸晶圆厂有四座,从当前产能上看,基本将近满产,且没有在建及计划的新增产能。

目前大陆能够制造 6 英寸晶圆的厂商超过 500 家,技术门槛已相对较低,价格优势不复。且现下市场中,原本使用 6 英寸晶圆的下游应用,也已逐渐被 8 英寸晶圆覆盖。

从通用芯片生产的角度看,6 英寸晶圆属于「落后工艺」产能,而且掺杂了不少以备不时之需的二手设备生产线,相对来说,6 英寸生产线利用率相对也较低。因此已经有不少 6 英寸硅晶圆产线向第三代半导体方向迁移。

又将迎来产能过剩?

近期,从全球市场来看,晶圆代工成熟制程价格迎来了疫情后的新低点,对相关企业的毛利率和盈利走势产生了影响。

据悉,联电、世界先进及力积电等公司为提高产能利用率,纷纷大幅降低明年第一季度的晶圆代工报价,降价幅度甚至达到了两位数百分比。关于降价传闻,联电回应称,8 英寸晶圆代工确实会有明显降幅,12 英寸则没有调整。联电预计,四季度季产能利用率恐将由上季的 67% 降为 60%—63%,为近年单季低点;受产能利用率持续修正影响,毛利率将由上季的 35.9% 下滑到 31%—33%。

力积电方面也透露,为维持竞争力,公司已对客户降价约 4% 至 5%。

集邦咨询近日公布的预测数据也显示,四季度面临压力:自 2022 年以来,8 英寸晶圆代工的产能利用率持续下滑,预计到 2023 年四季度将是一个最低点,包括台积电在内的大多数厂商的 8 英寸晶圆代工产能利用率都将跌破了 60%,仅华虹维持在了比较高的 78% 的水平,中芯国际也有 65%。

中芯国际在业绩会上表示,「从全球来看,晶圆整体需求没有产能扩建得快,应该会产能过剩,需要很多时间慢慢消化。」

那么对于中国市场来说,是否同样面临着库存难以复位,产能持续供过于求的困境?

中芯国际 CEO 赵海军表示,「从像中国、美国这样单独的大市场来看,如果要满足本土整机、整车等系统要求,本地的产能是不够的。」

根据中芯国际 2023 年 Q3 财报显示,按照各地区的营收贡献占比划分,中芯国际在 2023 年来自中国区的营收占比高达 84.0%;美国区的占比为 12.9%,欧亚区占比为 3.1%。华虹 2023 年 Q3 财报显示,公司来自中国区的营收占比为 77.5%,北美地区的占比为 8.6%,欧洲地区的占比 6.9%,亚洲地区占比 6.1%,日本地区占比 0.9%。

数据显示,两大晶圆厂的收入来源主要集中在中国大陆,部分产能由海外市场消耗。此外,中国拥有最广阔的成熟制程市场,这也给芯片产业提供了无限的机会。

中芯国际表示,对新增的产能消化很有信心。公司建设的产能都有跟客户事先做过沟通,客户也有战略性合作意向,所以对建设的产能信心比较高,未来还是有客户的需求和订单的。

但公司管理层还是这样定调整个市场:「展望来年,我们看到市场已趋于稳定,对成熟代工的需求会由于库存下降而增长,但没有大幅成长的动力和亮点,仍需等待全世界宏观经济的复苏。我们认为这是来年的一个基本盘。」

关于晶圆代工市场何时迎来整体回暖还要看两方面,一方面就是以手机为代表的消费电子复苏,因为手机相关应用可带动 8 英寸需求回升,这一信号在上一季度已然释放,Canalys 预计智能手机和 PC 将在 2024 年实现温和增长,消费电子暖流持续有望带动产业链备货。另一方面就是 AI 相关需求的带动,AI 推动面向高端制程的 12 英寸新增产能保持高利用率水平。

展望第四季度,中芯国际预计四季度将维持中规中矩态势,销售收入环比略有增长,约 1%—3%;毛利率将继续承受新产能折旧带来的压力,预计在 16%—18% 之间。华虹半导体预计 2023 年第四季度销售收入约在 4.5 亿美元至 5.0 亿美元之间,环比小幅下降;预计毛利率约在 2% 至 5% 之间。

]]>
根据统计数据,2023 年到 2027 年,全球晶圆代工成熟制程(28nm 以上)和先进制程(16nm 以下)的产能比重将维持在 7:3。在这一趋势下,中国晶圆厂尤其擅长成熟制程,因此政策鼓励本土化生产,产能扩充迅速。

本文将探讨中国晶圆代工产能的现状及发展趋势。

前三季度,晶圆代工双雄产能

2023 年 Q1,中芯国际的月产能为 73.225 万片 8 英寸约当晶圆,产能利用率为 68.1%,季度销售晶圆数量为 125.17 万片。按照产品尺寸分类,Q1 中芯国际 8 英寸晶圆占晶圆业务收入的 28.1%,12 英寸占晶圆业务收入的 71.9%。

Q2,中芯国际的月产能为 75.425 万片 8 英寸约当晶圆,产能利用率为 78.3%,季度销售晶圆数量为 140.3 万片。按照产品尺寸分类,Q2 中芯国际 8 英寸晶圆占晶圆业务收入的 25.3%,12 英寸晶圆占晶圆业务收入的 74.7%。

Q3,中芯国际的月产能为 79.575 万片 8 英寸约当晶圆,产能利用率为 77.1%,季度销售晶圆数量为 153.68 万片。按照产品尺寸分类,Q3 中芯国际 8 英寸晶圆占晶圆业务收入的 26%,12 英寸晶圆占业务收入的 74%。

2023 年前三个季度,中芯国际的合计晶圆出货量为 419.15 万片 8 英寸约当晶圆。8 英寸晶圆占晶圆业务收入的平均数为 26.47%,12 英寸晶圆占晶圆业务收入的平均数为 73.53%。

再看华虹。2023 年前三个季度,华虹的月产能分别为 32.4、34.7 和 35.8 万片,产能利用率分别为 103.5%、102.7% 和 86.8%,季度销售晶圆数量分别为 103.6、107.4 和 107.7 万片。

按照产品尺寸分类,Q1 华虹 12 英寸产能为 6.5 万片/月,8 英寸产能利用率 107.1%,12 英寸产能利用率 99.0%。

Q2,来自 8 英寸晶圆和 12 英寸晶圆的销售收入分别为 3.612 亿美元及 2.701 亿美元,分别占比 57.2% 和 42.8%。8 英寸晶圆产能利用率高达 112.0%,12 英寸晶圆产能利用率也高达 92.9%。

Q3,8 英寸产能 17.8 万片、12 英寸产能 8 万片。8 英寸产能利用率为 95.3%,12 英寸产能利用率为 78.4%,总体产能利用率环比、同比均下降。

2023 年前三个季度,华虹的合计晶圆出货量为 318.7 万片 8 英寸约当晶圆。

2021 年,中芯国际的晶圆月产能为 62.1 万片约当 8 英寸晶圆,2022 年公司晶圆月产能为 71.4 万片,2023 年前三个季度晶圆月产能约为 76.1 万片。2021 年华虹的晶圆月产能为 31.3 万片约当 8 英寸晶圆,2022 年公司晶圆月产能为 32.4 万片,2023 年前三个季度晶圆月产能约为 34.3 万片。连续三年来,两家晶圆代工公司的月产能都呈增长态势。

除此之外,中国的第三大晶圆代工厂晶合集成也在铆足力气加快生产。晶合集成近期接受投资者调研时称,公司目前的月产能为 11 万片左右,今年计划在 55 纳米制程上再扩充 5 千片/月的产能。2024 年公司计划根据市场的复苏情况弹性规划扩产计划。

接下来看一下中国晶圆厂建设现状

中国晶圆厂建设现状

据 TrendForce 统计,除去 7 家暂时停工的晶圆厂,中国目前已建成的晶圆厂有 44 家(12 英寸晶圆厂 25 座、6 英寸晶圆厂 4 座、8 英寸晶圆厂及产线 15 座),另外还有 22 家晶圆厂在建(12 英寸晶圆厂 15 座,8 英寸晶圆厂 8 座)。

12 英寸晶圆产能建设

据统计,中国目前运营着 40 座 12 英寸晶圆厂,其中包括在建的 12 英寸固定产能晶圆厂 15 座,当下的晶圆月产能总计约 113.9 万片。

目前,先进制程的研发和生产主要集中于 12 英寸上,受到手机、PC、数据中心、自动驾驶等下游应用高速发展的影响,12 英寸晶圆需求量快速上升,逐渐成为行业主流。另外,从成本角度,生产 12 英寸晶圆的成本比生产 8 英寸晶圆高出约 50%。然而,12 英寸晶圆的芯片输出几乎是 8 英寸晶圆的三倍,导致每个芯片的成本降低了约 30%。随着制造工艺的改进和良率的提高,预计未来 12 英寸晶圆的成本将进一步下降。

可以看到,行业趋势正在促使设备厂商将业务重心倾向 12 英寸。中国也在 12 英寸晶圆领域迅速扩张。除了建成和在建的 40 座 12 英寸晶圆厂,中国市场上还有 9 座正在计划中。统计中的 49 座晶圆厂的规划产能总计 417.3 万片/月。

中国的晶圆厂也将 12 英寸作为公司的销售主力,即使今年晶圆代工双雄均出现业绩承压现象,但并未阻挡它们扩建产能的步伐。

今年 Q3,中芯国际资本支出环比增长约 26% 至 153.10 亿元,并将今年全年资本开支上调到 75 亿美元左右,同比提升约 18%。根据中芯国际 2022 年半年报,该公司资本开支主要用于产能扩充和新厂基建。资本开支的大幅上调,意味着中芯国际未来产能将进一步提高。

与此同时,华虹公司也在致力于提升整体产能。今年 9 月,华虹公司使用募集资金向全资子公司华虹宏力增资 126.32 亿元,主要用于华虹宏力向华虹制造(无锡)项目的实施主体华虹半导体制造(无锡)有限公司增资,其余将用于 8 英寸厂优化升级项目、特色工艺技术创新研发项目等。

该公司表示,无锡 12 英寸生产线项目产能处于不断爬坡,截至第三季度末,公司折合 8 英寸生产线月产能增加到 35.8 万片。与此同时,华虹公司第二条 12 英寸生产线华虹无锡制造项目也正在紧锣密鼓地推进中。

足以见得,12 英寸晶圆厂在代工领域地位非同一般。国际半导体产业协会(SEMI)预计,到 2026 年,全球 12 英寸晶圆月产能将达到 960 万片,创下历史新高。其中,美国产能在全球的占比将自 2022 年的 0.2%,大幅提升 45 倍至近 9%,中国大陆也将自 2022 年的 22%,提升至 25%。

8 英寸快速发展

8 英寸晶圆多被认为是成熟落后的芯片,主要用于制造 60nm 及以上的芯片。尽管相对于 12 英寸晶圆来说,8 英寸晶圆的制造工艺相对不那么先进,但它仍然在半导体产业中扮演着重要的角色,比如功率器件、电源管理芯片、CMOS 图像传感器、MEMS 传感器、RF 收发器、滤波器,PA、ADC、DAC 等,大都在 8 英寸晶圆产线投产。

下表为中国大陆的 8 英寸晶圆产能建设情况。

据统计,中国目前运营着 22 座 8 英寸晶圆代工厂,其中包括在建的 8 英寸晶圆代工厂 7 座,总计月产能约为 104.1 万片。

作为全球 8 英寸晶圆产能占比最高的地区之一,中国大陆的 8 英寸晶圆制造工艺在全球半导体产业中具有重要地位。尽管相对于一些发达国家来说,中国大陆的 8 英寸晶圆制造工艺相对不那么先进,但其产能优势十分明显。

根据 SEMI 的数据,中国在 8 英寸硅片方面保持着快速发展。预计到 2026 年,中国 8 英寸硅片市场占有率将提升至 22%,月产能将达到 170 万片,位居全球第一。到 2025 年底,华虹、思恩、思兰、阳东微电子、GTA 半导体、中芯国际、中科、中科、华中、华德、易基等公司预计将新建 9 座 8 英寸晶圆厂。

从这些数据可以看出,中国大陆在全球 8 英寸晶圆产能方面表现突出。 到 2024 年底,中国大陆的目标是建立 32 座大型晶圆厂,并且都将专注于成熟工艺。

最后看 6 英寸晶圆产能的建设情况。

6 英寸晶圆厂超 500 家,价格优势不复

统计数据显示,中国目前运营的 6 英寸晶圆厂有四座,从当前产能上看,基本将近满产,且没有在建及计划的新增产能。

目前大陆能够制造 6 英寸晶圆的厂商超过 500 家,技术门槛已相对较低,价格优势不复。且现下市场中,原本使用 6 英寸晶圆的下游应用,也已逐渐被 8 英寸晶圆覆盖。

从通用芯片生产的角度看,6 英寸晶圆属于「落后工艺」产能,而且掺杂了不少以备不时之需的二手设备生产线,相对来说,6 英寸生产线利用率相对也较低。因此已经有不少 6 英寸硅晶圆产线向第三代半导体方向迁移。

又将迎来产能过剩?

近期,从全球市场来看,晶圆代工成熟制程价格迎来了疫情后的新低点,对相关企业的毛利率和盈利走势产生了影响。

据悉,联电、世界先进及力积电等公司为提高产能利用率,纷纷大幅降低明年第一季度的晶圆代工报价,降价幅度甚至达到了两位数百分比。关于降价传闻,联电回应称,8 英寸晶圆代工确实会有明显降幅,12 英寸则没有调整。联电预计,四季度季产能利用率恐将由上季的 67% 降为 60%—63%,为近年单季低点;受产能利用率持续修正影响,毛利率将由上季的 35.9% 下滑到 31%—33%。

力积电方面也透露,为维持竞争力,公司已对客户降价约 4% 至 5%。

集邦咨询近日公布的预测数据也显示,四季度面临压力:自 2022 年以来,8 英寸晶圆代工的产能利用率持续下滑,预计到 2023 年四季度将是一个最低点,包括台积电在内的大多数厂商的 8 英寸晶圆代工产能利用率都将跌破了 60%,仅华虹维持在了比较高的 78% 的水平,中芯国际也有 65%。

中芯国际在业绩会上表示,「从全球来看,晶圆整体需求没有产能扩建得快,应该会产能过剩,需要很多时间慢慢消化。」

那么对于中国市场来说,是否同样面临着库存难以复位,产能持续供过于求的困境?

中芯国际 CEO 赵海军表示,「从像中国、美国这样单独的大市场来看,如果要满足本土整机、整车等系统要求,本地的产能是不够的。」

根据中芯国际 2023 年 Q3 财报显示,按照各地区的营收贡献占比划分,中芯国际在 2023 年来自中国区的营收占比高达 84.0%;美国区的占比为 12.9%,欧亚区占比为 3.1%。华虹 2023 年 Q3 财报显示,公司来自中国区的营收占比为 77.5%,北美地区的占比为 8.6%,欧洲地区的占比 6.9%,亚洲地区占比 6.1%,日本地区占比 0.9%。

数据显示,两大晶圆厂的收入来源主要集中在中国大陆,部分产能由海外市场消耗。此外,中国拥有最广阔的成熟制程市场,这也给芯片产业提供了无限的机会。

中芯国际表示,对新增的产能消化很有信心。公司建设的产能都有跟客户事先做过沟通,客户也有战略性合作意向,所以对建设的产能信心比较高,未来还是有客户的需求和订单的。

但公司管理层还是这样定调整个市场:「展望来年,我们看到市场已趋于稳定,对成熟代工的需求会由于库存下降而增长,但没有大幅成长的动力和亮点,仍需等待全世界宏观经济的复苏。我们认为这是来年的一个基本盘。」

关于晶圆代工市场何时迎来整体回暖还要看两方面,一方面就是以手机为代表的消费电子复苏,因为手机相关应用可带动 8 英寸需求回升,这一信号在上一季度已然释放,Canalys 预计智能手机和 PC 将在 2024 年实现温和增长,消费电子暖流持续有望带动产业链备货。另一方面就是 AI 相关需求的带动,AI 推动面向高端制程的 12 英寸新增产能保持高利用率水平。

展望第四季度,中芯国际预计四季度将维持中规中矩态势,销售收入环比略有增长,约 1%—3%;毛利率将继续承受新产能折旧带来的压力,预计在 16%—18% 之间。华虹半导体预计 2023 年第四季度销售收入约在 4.5 亿美元至 5.0 亿美元之间,环比小幅下降;预计毛利率约在 2% 至 5% 之间。

]]>
//www.cghlg.com/article/202312/453759.htm Mon, 11 Dec 2023 13:31:19 +0800
<![CDATA[ Nexperia针对工业和可再生能源应用推出采用紧凑型SMD封装CCPAK的GaN FET ]]> 基础半导体器件领域的高产能生产专家Nexperia今天宣布推出新款GaN FET器件,该器件采用新一代高压GaN HEMT技术和专有铜夹片CCPAK表面贴装封装,为工业和可再生能源应用的设计人员提供更多选择。经过二十多年的辛勤耕耘,Nexperia在提供大规模、高质量的铜夹片SMD封装方面积累了丰富的专业知识,如今成功将这一突破性的封装方案CCPAK应用于级联氮化镓场效应管(GaN FET),Nexperia对此感到非常自豪。GAN039-650NTB是一款33 mΩ(典型值)的氮化镓场效应管,采用CCPAK1212i顶部散热封装技术,开创了宽禁带半导体和铜夹片封装相结合的新时代。这项技术为太阳能和家用热泵等可再生能源应用带来诸多优势,进一步加强了Nexperia为可持续应用开发前沿器件技术的承诺。该技术还适用于广泛的工业应用,如伺服驱动器、开关模式电源(SMPS)、服务器和电信应用。

 图片.png

Nexperia的创新型CCPAK封装采用了Nexperia成熟的铜夹片封装技术,无需内部焊线,从而可以减少寄生损耗,优化电气和热性能,并提高了器件的可靠性。为了更大限度地提升设计灵活性,CCPAK GaN FET提供顶部或底部散热配置,进一步改善散热性能。

GAN039-650NTB的级联配置使其能够提供出色的开关和导通性能,此外其稳健可靠的栅极结构可提供较高的噪声容限。这一特性还有益于简化应用设计,无需复杂的栅极驱动器和控制电路,只需使用标准硅MOSFET驱动器即可轻松驱动这些器件。NexperiaGaN技术提高了开关稳定性,并有助于将裸片尺寸缩小约24%。此外,器件的RDS(on)25℃时仅为33 mΩ(典型值),同时其具有较高的门极阈值电压和较低的等效体二极管导通压降。

Nexperia副总裁兼GaN FET业务部总经理Carlos Castro表示:“Nexperia深刻认识到,工业和可再生能源设备的设计人员需要一种高度稳健的开关解决方案,以便在进行功率转换时实现出色的热效率。因此Nexperia决定将其级联GaN FET的优异开关性能与其CCPAK封装的优越热性能结合起来,为客户提供杰出的解决方案。” 

Nexperia不断丰富其CCPAK产品组合,目前已推出顶部散热型33 mΩ(典型值)、650 V GAN039-650NTB,很快还将推出底部散热型版本GAN039-650NBB,其RDS(on)与前者相同。


]]>
基础半导体器件领域的高产能生产专家Nexperia今天宣布推出新款GaN FET器件,该器件采用新一代高压GaN HEMT技术和专有铜夹片CCPAK表面贴装封装,为工业和可再生能源应用的设计人员提供更多选择。经过二十多年的辛勤耕耘,Nexperia在提供大规模、高质量的铜夹片SMD封装方面积累了丰富的专业知识,如今成功将这一突破性的封装方案CCPAK应用于级联氮化镓场效应管(GaN FET),Nexperia对此感到非常自豪。GAN039-650NTB是一款33 mΩ(典型值)的氮化镓场效应管,采用CCPAK1212i顶部散热封装技术,开创了宽禁带半导体和铜夹片封装相结合的新时代。这项技术为太阳能和家用热泵等可再生能源应用带来诸多优势,进一步加强了Nexperia为可持续应用开发前沿器件技术的承诺。该技术还适用于广泛的工业应用,如伺服驱动器、开关模式电源(SMPS)、服务器和电信应用。

 图片.png

Nexperia的创新型CCPAK封装采用了Nexperia成熟的铜夹片封装技术,无需内部焊线,从而可以减少寄生损耗,优化电气和热性能,并提高了器件的可靠性。为了更大限度地提升设计灵活性,CCPAK GaN FET提供顶部或底部散热配置,进一步改善散热性能。

GAN039-650NTB的级联配置使其能够提供出色的开关和导通性能,此外其稳健可靠的栅极结构可提供较高的噪声容限。这一特性还有益于简化应用设计,无需复杂的栅极驱动器和控制电路,只需使用标准硅MOSFET驱动器即可轻松驱动这些器件。NexperiaGaN技术提高了开关稳定性,并有助于将裸片尺寸缩小约24%。此外,器件的RDS(on)25℃时仅为33 mΩ(典型值),同时其具有较高的门极阈值电压和较低的等效体二极管导通压降。

Nexperia副总裁兼GaN FET业务部总经理Carlos Castro表示:“Nexperia深刻认识到,工业和可再生能源设备的设计人员需要一种高度稳健的开关解决方案,以便在进行功率转换时实现出色的热效率。因此Nexperia决定将其级联GaN FET的优异开关性能与其CCPAK封装的优越热性能结合起来,为客户提供杰出的解决方案。” 

Nexperia不断丰富其CCPAK产品组合,目前已推出顶部散热型33 mΩ(典型值)、650 V GAN039-650NTB,很快还将推出底部散热型版本GAN039-650NBB,其RDS(on)与前者相同。


]]>
//www.cghlg.com/article/202312/453758.htm Mon, 11 Dec 2023 13:27:52 +0800
<![CDATA[ 英特尔展示 3D 堆叠 CMOS 晶体管技术:在 60nm 栅距下实现 CFET ]]> IT之家 12 月 10 日消息,由于当下摩尔定律放缓,堆叠晶体管概念重获关注,IMEC (比利时微电子研究中心)于 2018 年提出了堆叠互补晶体管的微缩版 CFET 技术(IT之家注:即垂直堆叠互补场效应晶体管技术,业界认为 CFET 将取代全栅极 GAA 晶体管技术),英特尔和台积电也都进行了跟进。

在今年的 IEEE 国际电子器件会议(IEDM 2023)上,英特尔展示了多项技术突破,并强调了摩尔定律的延续和演变。

首先,英特尔展示了其中 3D 堆叠 CMOS(互补金属氧化物半导体)晶体管方面取得的突破。

简单来说,研究人员通过将 3D 堆叠 CMOS 晶体管与背面供电和背面接触相结合,实现了业界首次在缩小至 60 nm 的栅极间距下的 CFET。

此外,该公司还报告了最近在背面供电技术方面的研发突破的扩展路径,如背面接触(backside contacts),并且首次演示了在同一 300mm 晶圆上(相对于同一封装而言)实现大规模集成硅晶体管和氮化镓(GaN)晶体管。

英特尔这也是其面向未来节点的下一代晶体管扩展的突破性技术进展,从而再次延续了摩尔定律概念。

除了改善背面供电和采用新颖的二维通道材料外,英特尔还致力于实现到“2030 年将摩尔定律扩展到单个封装中包含一万亿个晶体管”的目标。

最近,英特尔还宣布了其最新的工艺技术路线图,强调了公司在持续扩展方面的创新,包括 PowerVia 背面供电,以及用于先进封装的玻璃基板和 Foveros Direct 等方面,而这些技术预计将在本十年内投入生产。

英特尔表示,这凸显了该公司在全栅晶体管领域的领导地位,并展示了该公司在 RibbonFET 之外的创新能力,从而使其进一步领先于竞争对手。

英特尔高级副总裁兼组件研究部总经理 Sanjay Natarajan 表示,“随着我们进入 Angstrom 时代以及确定四年五个节点的目标,持续创新已经变得比以往任何时候都关键。在 IEDM 2023 上,英特尔展示了其在推动摩尔定律的研究进展方面所取得的进展,强调了我们为下一代移动计算提供进一步扩展和高效供电的能力。”

英特尔认为,他们已经超越了“四年五个节点”的目标,并确定了继续使用背面供电技术进行晶体管扩展所需的关键研发领域:

英特尔 PowerVia 将在 2024 年具备生产条件,这是业界首次实现背面供电的案例。在 IEDM 2023 上,组件研究部门确定了中 PowerVia 之外延续和扩展背面供电技术的途径,以及实现这些途径所需的关键工艺进步。此外,这项工作还强调了使用背面接触和其他新颖的垂直互连技术来实现更高效的器件堆叠。

在 IEDM 2022 上,英特尔专注于性能增强和构建可行的 300 毫米 GaN-on-silicon 晶圆路径。今年,该公司正在推进硅和 GaN 的工艺集成。英特尔现在已经成功展示了一种名为“DrGaN”用于电源传输的高性能大规模集成电路解决方案。英特尔研究人员率先证明该技术性能良好,并且有可能使电力传输解决方案能够跟上未来计算的功率密度和效率需求。

过渡金属二硫属化物(TMD)二维通道材料为缩小晶体管物理栅长度至 10nm 以下提供了独特的机会。在 IEDM 2023 上,英特尔将演示高迁移率 TMD 晶体管的原型,包括 CMOS 的关键组成部分 NMOS(n 沟道金属氧化物半导体)和 PMOS(p 沟道金属氧化物半导体)。英特尔还展示了世界上第一个全栅极环绕(GAA)二维 TMD PMOS 晶体管,以及世界上第一个在 300 毫米晶圆上制造的二维晶体管。

]]>
IT之家 12 月 10 日消息,由于当下摩尔定律放缓,堆叠晶体管概念重获关注,IMEC (比利时微电子研究中心)于 2018 年提出了堆叠互补晶体管的微缩版 CFET 技术(IT之家注:即垂直堆叠互补场效应晶体管技术,业界认为 CFET 将取代全栅极 GAA 晶体管技术),英特尔和台积电也都进行了跟进。

在今年的 IEEE 国际电子器件会议(IEDM 2023)上,英特尔展示了多项技术突破,并强调了摩尔定律的延续和演变。

首先,英特尔展示了其中 3D 堆叠 CMOS(互补金属氧化物半导体)晶体管方面取得的突破。

简单来说,研究人员通过将 3D 堆叠 CMOS 晶体管与背面供电和背面接触相结合,实现了业界首次在缩小至 60 nm 的栅极间距下的 CFET。

此外,该公司还报告了最近在背面供电技术方面的研发突破的扩展路径,如背面接触(backside contacts),并且首次演示了在同一 300mm 晶圆上(相对于同一封装而言)实现大规模集成硅晶体管和氮化镓(GaN)晶体管。

英特尔这也是其面向未来节点的下一代晶体管扩展的突破性技术进展,从而再次延续了摩尔定律概念。

除了改善背面供电和采用新颖的二维通道材料外,英特尔还致力于实现到“2030 年将摩尔定律扩展到单个封装中包含一万亿个晶体管”的目标。

最近,英特尔还宣布了其最新的工艺技术路线图,强调了公司在持续扩展方面的创新,包括 PowerVia 背面供电,以及用于先进封装的玻璃基板和 Foveros Direct 等方面,而这些技术预计将在本十年内投入生产。

英特尔表示,这凸显了该公司在全栅晶体管领域的领导地位,并展示了该公司在 RibbonFET 之外的创新能力,从而使其进一步领先于竞争对手。

英特尔高级副总裁兼组件研究部总经理 Sanjay Natarajan 表示,“随着我们进入 Angstrom 时代以及确定四年五个节点的目标,持续创新已经变得比以往任何时候都关键。在 IEDM 2023 上,英特尔展示了其在推动摩尔定律的研究进展方面所取得的进展,强调了我们为下一代移动计算提供进一步扩展和高效供电的能力。”

英特尔认为,他们已经超越了“四年五个节点”的目标,并确定了继续使用背面供电技术进行晶体管扩展所需的关键研发领域:

英特尔 PowerVia 将在 2024 年具备生产条件,这是业界首次实现背面供电的案例。在 IEDM 2023 上,组件研究部门确定了中 PowerVia 之外延续和扩展背面供电技术的途径,以及实现这些途径所需的关键工艺进步。此外,这项工作还强调了使用背面接触和其他新颖的垂直互连技术来实现更高效的器件堆叠。

在 IEDM 2022 上,英特尔专注于性能增强和构建可行的 300 毫米 GaN-on-silicon 晶圆路径。今年,该公司正在推进硅和 GaN 的工艺集成。英特尔现在已经成功展示了一种名为“DrGaN”用于电源传输的高性能大规模集成电路解决方案。英特尔研究人员率先证明该技术性能良好,并且有可能使电力传输解决方案能够跟上未来计算的功率密度和效率需求。

过渡金属二硫属化物(TMD)二维通道材料为缩小晶体管物理栅长度至 10nm 以下提供了独特的机会。在 IEDM 2023 上,英特尔将演示高迁移率 TMD 晶体管的原型,包括 CMOS 的关键组成部分 NMOS(n 沟道金属氧化物半导体)和 PMOS(p 沟道金属氧化物半导体)。英特尔还展示了世界上第一个全栅极环绕(GAA)二维 TMD PMOS 晶体管,以及世界上第一个在 300 毫米晶圆上制造的二维晶体管。

]]>
//www.cghlg.com/article/202312/453757.htm Mon, 11 Dec 2023 13:25:41 +0800
<![CDATA[ 2022年中国游戏云市场——企稳回升,面向未来 ]]>

国际数据公司(IDC)最新发布的《中国游戏云市场跟踪,2022下半年》报告显示,2022全年中国游戏云市场规模达到17.6亿美元,同比基本维持在相同水平。其中,解决方案市场增速达到9%以上,远高于基础设施。随着2023年游戏版号出现“常态化发放”趋势,在未发生重大舆情风险、且现有监管政策不发生重大变化情况下,下游需求预计将带动游戏云服务用量、游戏云市场规模持续增长。

市场概况:

1702268681790112.png

回顾2022年,在新游投放节奏放缓后,游戏云市场呈现以下态势:

●   游戏云资源消耗趋于平稳,受2022年周期大型体育赛事直播、重量级线上文艺活动直播挤占用户在线时长,叠加新游数量大幅下滑等因素影响,游戏云基础设施资源消耗整体出现下滑。

●   产品与服务价格小幅走低,在互联网行业客户“降本”与2022下半年周期用户在线总时长增长放缓背景下,服务商面向整个互联网行业客户(包括音视频、游戏、电商及其他互联网行业)基础设施产品价格普遍出现一定幅度波动。

●   数据类、安全类产品/服务占比提升,随着云计算产品在游戏研发测试、发行上线、运营增长等各阶段被广泛采用,云计算高阶产品/服务使用比例正在不断提升,客户与服务商技术栈正在更紧密的融合在一起。

●   智能化变革已经起步,部分AI技术已经开始在美术生产创作方向发挥核心作用,并在剧情设计、NPC交互等领域发挥一定作用;另外,客户还在与服务商不断尝试AIGC、AGI以及大模型新的应用场景。

研究范畴:

1702268710955342.png

IDC认为,游戏云是指为了满足互联网游戏中后台数据汇聚、处理、存储、分发等特定需求而定制的云解决方案,以及面向云游戏的视频渲染、视频流分发解决方案。在本期研究中,IDC不但调研并统计了服务商在游戏云各子市场中的营收(不含关联交易收入),亦统计了部分核心子市场的云计算产品与服务的用量(含内部请求用量)。

同时,游戏云作为一个充分竞争市场,参与者不但包括互联网系云计算服务商(阿里云、腾讯云、AWS、百度智能云等)、第三方独立服务商(华为云、优刻得、金山云等)、电信运营商(天翼云、移动云等),亦包括垂直行业或场景的创新型技术服务商(蔚领时代、海马云等)。

1702268728791224.jpg

IDC中国行业云服务研究经理魏云峰表示,互联网游戏客户作为最早开始尝试云计算产品与服务的行业之一,多数行业客户已经充分了解公有云在资源使用效率、资源上线速度、技术领先性以及降低资本性支出等方面的独特优势。随着游戏开发商、发行商及生态伙伴对云计算的接受程度不断提高,云计算服务已成为行业客户IT架构中不可或缺的组成部分,并且越来越多的客户已经可以根据自身需求灵活调整云计算服务与传统IDC资源比例。面向未来,除了无服务器计算服务、弹性计算竞价实例等在降本方面提供的重要价值,越来越多新的技术、特性与方案也在融合到云计算技术体系与生态当中,AI算力与高阶服务、云游戏实例等亦可能为游戏行业注入新的增长动力。如需进一步了解与此研究相关的信息或其他相关研究项目,请与IDC中国研究经理魏云峰(邮箱:ewei@idc.com)联系。

免责声明

本文中的内容和数据均来源于IDC所发布的报告,所有内容及数据均为我公司所有。未经IDC书面许可,任何机构和个人不得以任何形式翻版、复制、刊登、发表或引用。

]]>

国际数据公司(IDC)最新发布的《中国游戏云市场跟踪,2022下半年》报告显示,2022全年中国游戏云市场规模达到17.6亿美元,同比基本维持在相同水平。其中,解决方案市场增速达到9%以上,远高于基础设施。随着2023年游戏版号出现“常态化发放”趋势,在未发生重大舆情风险、且现有监管政策不发生重大变化情况下,下游需求预计将带动游戏云服务用量、游戏云市场规模持续增长。

市场概况:

1702268681790112.png

回顾2022年,在新游投放节奏放缓后,游戏云市场呈现以下态势:

●   游戏云资源消耗趋于平稳,受2022年周期大型体育赛事直播、重量级线上文艺活动直播挤占用户在线时长,叠加新游数量大幅下滑等因素影响,游戏云基础设施资源消耗整体出现下滑。

●   产品与服务价格小幅走低,在互联网行业客户“降本”与2022下半年周期用户在线总时长增长放缓背景下,服务商面向整个互联网行业客户(包括音视频、游戏、电商及其他互联网行业)基础设施产品价格普遍出现一定幅度波动。

●   数据类、安全类产品/服务占比提升,随着云计算产品在游戏研发测试、发行上线、运营增长等各阶段被广泛采用,云计算高阶产品/服务使用比例正在不断提升,客户与服务商技术栈正在更紧密的融合在一起。

●   智能化变革已经起步,部分AI技术已经开始在美术生产创作方向发挥核心作用,并在剧情设计、NPC交互等领域发挥一定作用;另外,客户还在与服务商不断尝试AIGC、AGI以及大模型新的应用场景。

研究范畴:

1702268710955342.png

IDC认为,游戏云是指为了满足互联网游戏中后台数据汇聚、处理、存储、分发等特定需求而定制的云解决方案,以及面向云游戏的视频渲染、视频流分发解决方案。在本期研究中,IDC不但调研并统计了服务商在游戏云各子市场中的营收(不含关联交易收入),亦统计了部分核心子市场的云计算产品与服务的用量(含内部请求用量)。

同时,游戏云作为一个充分竞争市场,参与者不但包括互联网系云计算服务商(阿里云、腾讯云、AWS、百度智能云等)、第三方独立服务商(华为云、优刻得、金山云等)、电信运营商(天翼云、移动云等),亦包括垂直行业或场景的创新型技术服务商(蔚领时代、海马云等)。

1702268728791224.jpg

IDC中国行业云服务研究经理魏云峰表示,互联网游戏客户作为最早开始尝试云计算产品与服务的行业之一,多数行业客户已经充分了解公有云在资源使用效率、资源上线速度、技术领先性以及降低资本性支出等方面的独特优势。随着游戏开发商、发行商及生态伙伴对云计算的接受程度不断提高,云计算服务已成为行业客户IT架构中不可或缺的组成部分,并且越来越多的客户已经可以根据自身需求灵活调整云计算服务与传统IDC资源比例。面向未来,除了无服务器计算服务、弹性计算竞价实例等在降本方面提供的重要价值,越来越多新的技术、特性与方案也在融合到云计算技术体系与生态当中,AI算力与高阶服务、云游戏实例等亦可能为游戏行业注入新的增长动力。如需进一步了解与此研究相关的信息或其他相关研究项目,请与IDC中国研究经理魏云峰(邮箱:ewei@idc.com)联系。

免责声明

本文中的内容和数据均来源于IDC所发布的报告,所有内容及数据均为我公司所有。未经IDC书面许可,任何机构和个人不得以任何形式翻版、复制、刊登、发表或引用。

]]>
//www.cghlg.com/article/202312/453756.htm Mon, 11 Dec 2023 12:23:37 +0800
<![CDATA[ 2023上半年中国云专业服务市场蛰伏前行,仍处于上升发展期 ]]>

国际数据公司(IDC)最新发布的《中国云专业服务市场(2023上半年)跟踪》报告显示,2023上半年中国云专业服务市场整体规模达到135.1亿元人民币,同比增速小幅下滑至15.8%。受到国内疫后经济恢复有限以及外部压力等多方面因素影响,市场表现不如预期。其中一季度交付项目多为去年签单项目,而后续新签项目数量受到企业IT预算缩减等多重因素,增长有限,预计短期内会对市场产生影响。

总体来看,2023上半年的中国云专业服务市场呈现出增长放缓的趋势,但“深度用云”将会是未来推动云专业市场发展的主要驱动力。本期市场具体表现如下:

●   云建设服务市场同比增长12.6%,相较于上期增速继续放缓。一方面,“专属云服务”模式继续受到泛政府等行业青睐,对私有云、混合云等项目交付模式形成冲击。另一方面,企业资源层搭建已呈现相当规模,云建设服务市场正转向存量市场。未来数据中台等各类平台层的建设以及云资源池的扩容和国产替代将成为新增长点。

●   受到企业预算缩减等因素,云咨询服务市场同比增长仅为11.5%。目前云咨询项目主要集中在央国企以及汽车、能源等热点行业。这些行业对新型基础架构、应用现代化和数据业务的技术咨询需求较为突出。同时,技术与场景结合的非标准化咨询项目数量逐渐超过单纯以技术驱动的咨询项目。

●   云迁移服务市场同比增长16.7%。一方面,制造、医疗、教育等行业上云第二梯队仍有大量存量系统上云需求;另一方面,云服务商客户关系、企业对现有云平台安全合规的考量以及是否在PaaS/SaaS层对业务应用有足够的能力支撑都将持续带来公有云之间、公有云到私有云、专属云等合规基础设施的迁移项目机会。

●   企业上云需求已经从水平基础能力转向行业场景能力,云开发服务市场正伴随着应用现代化理念加速发展。目前绝大部分新签定制化项目中都有容器、微服务、服务网格、DevOps等云原生开发元素,本期云开发服务市场同比增长22.6%。

2023上半年中国云专业服务市场关键厂商

表现如下所示:

1702268449915971.png

竞争格局方面,本期市场发展继续趋于集中,排名前十的服务商市场份额占比上升至28.9%。其中,华为发布全新的华为云Stack 8.3,加强自身在盘古大模型、工业互联网、数据要素流通、软件开发生产线的能力,并实现大模型能力基于混合云部署,为客户提供算力平台、云服务、开发套件和专业服务等AI全生产链;埃森哲继续在云迁移、云基础架构、云原生等领域持续创新,帮助零售、制造、能源以及金融等行业客户重塑云环境下的战略、商业模式、生态体系,实现真正的数字化核心;中软国际构建全栈云服务能力并巩固迁移开发等能力优势,为金融、能源、交通、政务、制造等重点行业企业提供覆盖云咨询、云集成、云迁移、云开发、云运维全生命周期的云服务产品;中国电信不断深化云服务的行业场景特性,巩固在政企市场竞争中的优势,并依托天翼云全栈云产品能力,为客户提供全场景服务落地交付能力;软通动力继续提升云智能服务能力,践行“1+3+N”服务体系,通过云专业服务能力底座,并依托专家库、云原生工具、行业解决方案,为行业客户提供端到端的专业服务。

1702268466549542.jpg

IDC中国企业研究部分析师陈启今表示,数字经济正在快速融入各行各业,从市场趋势来看,数字化转型仍将是推动企业未来变革重塑的重要力量,并有助于激发企业蛰伏的发展潜能。然而受限于宏观经济下行压力,企业近期投资力度不及预期。云服务商在继续深耕现有存量客户的同时,也应积极布局中长尾市场,通过发现企业业务痛点和更深层次云需求,为云场景化解决方案提供更多的商业切入点和机会。IDC认为,随着云计算、大模型、智算等新兴技术的不断发展与融合,将会催生出引领未来发展的新质生产力,推动云专业服务市场快速增长。

免责声明

本文中的内容和数据均来源于IDC所发布的报告,所有内容及数据均为我公司所有。未经IDC书面许可,任何机构和个人不得以任何形式翻版、复制、刊登、发表或引用。

]]>

国际数据公司(IDC)最新发布的《中国云专业服务市场(2023上半年)跟踪》报告显示,2023上半年中国云专业服务市场整体规模达到135.1亿元人民币,同比增速小幅下滑至15.8%。受到国内疫后经济恢复有限以及外部压力等多方面因素影响,市场表现不如预期。其中一季度交付项目多为去年签单项目,而后续新签项目数量受到企业IT预算缩减等多重因素,增长有限,预计短期内会对市场产生影响。

总体来看,2023上半年的中国云专业服务市场呈现出增长放缓的趋势,但“深度用云”将会是未来推动云专业市场发展的主要驱动力。本期市场具体表现如下:

●   云建设服务市场同比增长12.6%,相较于上期增速继续放缓。一方面,“专属云服务”模式继续受到泛政府等行业青睐,对私有云、混合云等项目交付模式形成冲击。另一方面,企业资源层搭建已呈现相当规模,云建设服务市场正转向存量市场。未来数据中台等各类平台层的建设以及云资源池的扩容和国产替代将成为新增长点。

●   受到企业预算缩减等因素,云咨询服务市场同比增长仅为11.5%。目前云咨询项目主要集中在央国企以及汽车、能源等热点行业。这些行业对新型基础架构、应用现代化和数据业务的技术咨询需求较为突出。同时,技术与场景结合的非标准化咨询项目数量逐渐超过单纯以技术驱动的咨询项目。

●   云迁移服务市场同比增长16.7%。一方面,制造、医疗、教育等行业上云第二梯队仍有大量存量系统上云需求;另一方面,云服务商客户关系、企业对现有云平台安全合规的考量以及是否在PaaS/SaaS层对业务应用有足够的能力支撑都将持续带来公有云之间、公有云到私有云、专属云等合规基础设施的迁移项目机会。

●   企业上云需求已经从水平基础能力转向行业场景能力,云开发服务市场正伴随着应用现代化理念加速发展。目前绝大部分新签定制化项目中都有容器、微服务、服务网格、DevOps等云原生开发元素,本期云开发服务市场同比增长22.6%。

2023上半年中国云专业服务市场关键厂商

表现如下所示:

1702268449915971.png

竞争格局方面,本期市场发展继续趋于集中,排名前十的服务商市场份额占比上升至28.9%。其中,华为发布全新的华为云Stack 8.3,加强自身在盘古大模型、工业互联网、数据要素流通、软件开发生产线的能力,并实现大模型能力基于混合云部署,为客户提供算力平台、云服务、开发套件和专业服务等AI全生产链;埃森哲继续在云迁移、云基础架构、云原生等领域持续创新,帮助零售、制造、能源以及金融等行业客户重塑云环境下的战略、商业模式、生态体系,实现真正的数字化核心;中软国际构建全栈云服务能力并巩固迁移开发等能力优势,为金融、能源、交通、政务、制造等重点行业企业提供覆盖云咨询、云集成、云迁移、云开发、云运维全生命周期的云服务产品;中国电信不断深化云服务的行业场景特性,巩固在政企市场竞争中的优势,并依托天翼云全栈云产品能力,为客户提供全场景服务落地交付能力;软通动力继续提升云智能服务能力,践行“1+3+N”服务体系,通过云专业服务能力底座,并依托专家库、云原生工具、行业解决方案,为行业客户提供端到端的专业服务。

1702268466549542.jpg

IDC中国企业研究部分析师陈启今表示,数字经济正在快速融入各行各业,从市场趋势来看,数字化转型仍将是推动企业未来变革重塑的重要力量,并有助于激发企业蛰伏的发展潜能。然而受限于宏观经济下行压力,企业近期投资力度不及预期。云服务商在继续深耕现有存量客户的同时,也应积极布局中长尾市场,通过发现企业业务痛点和更深层次云需求,为云场景化解决方案提供更多的商业切入点和机会。IDC认为,随着云计算、大模型、智算等新兴技术的不断发展与融合,将会催生出引领未来发展的新质生产力,推动云专业服务市场快速增长。

免责声明

本文中的内容和数据均来源于IDC所发布的报告,所有内容及数据均为我公司所有。未经IDC书面许可,任何机构和个人不得以任何形式翻版、复制、刊登、发表或引用。

]]>
//www.cghlg.com/article/202312/453755.htm Mon, 11 Dec 2023 12:18:17 +0800
<![CDATA[ 中国科学院在氮化镓 GaN 器件可靠性及热管理研究方面取得重要进展 ]]> IT之家 12 月 11 日消息,据中国科学院微电子研究所消息,近日,微电子所高频高压中心刘新宇研究员团队在氮化镓电子器件可靠性及热管理方面取得突破,六项研究成果入选第 14 届氮化物半导体国际会议 ICNS-14(The 14th International Conference on Nitride Semiconductors)。

氮化物半导体材料在光电子、能源、通信等领域具有广泛的应用前景。随着下游新应用的快速发展以及衬底制备技术的不断突破,氮化物半导体功率器件实现了成本和效率的大幅改善,但器件的阈值漂移、电流坍塌、热管理等瓶颈问题仍然制约着器件可靠性的突破,限制了其向更高电压和更大功率应用领域拓展。

科研团队成功应用氧化铝钝化技术有效恢复了薄势垒氮化镓器件的二维电子气,实验上确定了电荷来源和界面电荷数量,为自主研制超薄势垒增强型器件奠定了技术基础。

在可靠性方面,团队采用高温远程等离子体预处理技术,稳定复现了原子级台阶形貌,改善了器件的阈值漂移和电流崩塌现象。团队还深入研究了深能级界面态的起源和抑制机理,为进一步提升器件性能提供了理论基础。针对 P-GaN 栅增强型 HEMTs,团队采用轻掺杂漏极技术,有效调控了栅下的二维电子气浓度和关态表面电场,使器件获得更出色的关态特性。团队还自主搭建了电感负载评估平台,利用该平台研究了商用肖特基型 P-GaN 栅器件和 HD-GIT 器件在复杂工作模式下的动态导通电阻,为 p-GaN 栅极 GaN HEMT 的稳定性提供了新思路。

在热管理方面,团队通过引入高导热氮化铝钝化介质并在   器件正面设计散热通道,将器件的结温降低了 50℃。团队还开发了基于集总参数电热网络(LPETN)模型的 SenseFET 电流检测元件,实现了对 GaN 功率器件温度分布和传导电流分布的高精度检测。

上述六项研究成果在 ICNS-14 上进行了口头 / 海报展示,两项工作获评大会“best student award”。相关成果的通讯作者为微电子所黄森研究员、王鑫华研究员和蒋其梦研究员。研究工作获得国家重点研发计划、自然基金重点和中国科学院裘搓基金重点等项目的支持。

IT之家注:ICNS 是氮化物领域最权威、最有影响力的学术会议之一,聚集了全球氮化物半导体领域的著名科学家、工程师及业界人士。


]]>
IT之家 12 月 11 日消息,据中国科学院微电子研究所消息,近日,微电子所高频高压中心刘新宇研究员团队在氮化镓电子器件可靠性及热管理方面取得突破,六项研究成果入选第 14 届氮化物半导体国际会议 ICNS-14(The 14th International Conference on Nitride Semiconductors)。

氮化物半导体材料在光电子、能源、通信等领域具有广泛的应用前景。随着下游新应用的快速发展以及衬底制备技术的不断突破,氮化物半导体功率器件实现了成本和效率的大幅改善,但器件的阈值漂移、电流坍塌、热管理等瓶颈问题仍然制约着器件可靠性的突破,限制了其向更高电压和更大功率应用领域拓展。

科研团队成功应用氧化铝钝化技术有效恢复了薄势垒氮化镓器件的二维电子气,实验上确定了电荷来源和界面电荷数量,为自主研制超薄势垒增强型器件奠定了技术基础。

在可靠性方面,团队采用高温远程等离子体预处理技术,稳定复现了原子级台阶形貌,改善了器件的阈值漂移和电流崩塌现象。团队还深入研究了深能级界面态的起源和抑制机理,为进一步提升器件性能提供了理论基础。针对 P-GaN 栅增强型 HEMTs,团队采用轻掺杂漏极技术,有效调控了栅下的二维电子气浓度和关态表面电场,使器件获得更出色的关态特性。团队还自主搭建了电感负载评估平台,利用该平台研究了商用肖特基型 P-GaN 栅器件和 HD-GIT 器件在复杂工作模式下的动态导通电阻,为 p-GaN 栅极 GaN HEMT 的稳定性提供了新思路。

在热管理方面,团队通过引入高导热氮化铝钝化介质并在   器件正面设计散热通道,将器件的结温降低了 50℃。团队还开发了基于集总参数电热网络(LPETN)模型的 SenseFET 电流检测元件,实现了对 GaN 功率器件温度分布和传导电流分布的高精度检测。

上述六项研究成果在 ICNS-14 上进行了口头 / 海报展示,两项工作获评大会“best student award”。相关成果的通讯作者为微电子所黄森研究员、王鑫华研究员和蒋其梦研究员。研究工作获得国家重点研发计划、自然基金重点和中国科学院裘搓基金重点等项目的支持。

IT之家注:ICNS 是氮化物领域最权威、最有影响力的学术会议之一,聚集了全球氮化物半导体领域的著名科学家、工程师及业界人士。


]]>
//www.cghlg.com/article/202312/453753.htm Mon, 11 Dec 2023 11:10:45 +0800
<![CDATA[ 英伟达计划在越南开设半导体中心 ]]> IT之家 12 月 10 日消息,越南政府发文称,Nvidia 首席执行官黄仁勋在首次访问这个东南亚国家时表示,该公司“将越南视为自己家”,并肯定了在越南建立半导体中心的计划。

黄仁勋表示,英伟达希望在越南建立一个半导体基地,以发展该国的半导体产业,因为他认为越南市场是一个重要的市场。

图源 Pixabay

越南计划和投资部声明显示,黄仁勋和其他 Nvidia 高管明天将于河内的越南国家创新中心 (NIC Hoa Lac) 举行工作会议,黄仁勋今天已经与越南总理范明正进行了会谈。

越南政府声明指出,“该基地将吸引来自世界各地的人才,为越南半导体生态系统和数字化的发展作出贡献” 。

路透社此前报道称,英伟达目前已经在越南投资约 2.5 亿美元(IT之家备注:当前约 17.93 亿元人民币),准备在周一的会议上与越南科技公司和政府部门讨论半导体方面的合作细节。


]]>
IT之家 12 月 10 日消息,越南政府发文称,Nvidia 首席执行官黄仁勋在首次访问这个东南亚国家时表示,该公司“将越南视为自己家”,并肯定了在越南建立半导体中心的计划。

黄仁勋表示,英伟达希望在越南建立一个半导体基地,以发展该国的半导体产业,因为他认为越南市场是一个重要的市场。

图源 Pixabay

越南计划和投资部声明显示,黄仁勋和其他 Nvidia 高管明天将于河内的越南国家创新中心 (NIC Hoa Lac) 举行工作会议,黄仁勋今天已经与越南总理范明正进行了会谈。

越南政府声明指出,“该基地将吸引来自世界各地的人才,为越南半导体生态系统和数字化的发展作出贡献” 。

路透社此前报道称,英伟达目前已经在越南投资约 2.5 亿美元(IT之家备注:当前约 17.93 亿元人民币),准备在周一的会议上与越南科技公司和政府部门讨论半导体方面的合作细节。


]]>
//www.cghlg.com/article/202312/453752.htm Mon, 11 Dec 2023 11:03:43 +0800
<![CDATA[ 欧盟就监管生成性人工智能工具达成初步协议 ]]> 据外媒,当地时间12月8日,欧盟成员国及欧洲议会议员就全球首个监管包括ChatGPT在内的人工智能的全面法规达成初步协议。

据悉,欧盟内部市场负责人Thierry Breton周五在社交媒体网站发帖表示,来自欧盟委员会、欧洲议会和27个成员国的代表同意对OpenAI Inc.的ChatGPT和谷歌的Bard等能够按指令生产内容的生成性人工智能工具进行一系列管控。

该立法草案仍需得到欧盟成员国和议会的正式批准。但这项协议标志着在美国国会没有任何显著动作的情况下,欧盟朝着具有里程碑意义的人工智能政策迈出了关键一步。

]]>
据外媒,当地时间12月8日,欧盟成员国及欧洲议会议员就全球首个监管包括ChatGPT在内的人工智能的全面法规达成初步协议。

据悉,欧盟内部市场负责人Thierry Breton周五在社交媒体网站发帖表示,来自欧盟委员会、欧洲议会和27个成员国的代表同意对OpenAI Inc.的ChatGPT和谷歌的Bard等能够按指令生产内容的生成性人工智能工具进行一系列管控。

该立法草案仍需得到欧盟成员国和议会的正式批准。但这项协议标志着在美国国会没有任何显著动作的情况下,欧盟朝着具有里程碑意义的人工智能政策迈出了关键一步。

]]>
//www.cghlg.com/article/202312/453749.htm Mon, 11 Dec 2023 09:15:19 +0800
<![CDATA[ COMSOL半导体制造主题日圆满落幕 多物理场仿真助力半导体制造 ]]> 2023年12月6日,全球领先的多物理场仿真软件供应商COMSOL公司成功举办了半导体制造专场主题日活动。此次活动汇聚了千余名来自企业和科研机构的专家学者,共同探讨和分享仿真技术为半导体制造工艺发展带来的创新力量。

随着半导体器件尺寸的缩小、集成度的提高,半导体制造对精度的要求也越来越高。COMSOL Multiphysics 多物理场仿真软件能够帮助工程师和设计人员深入理解制造工艺中涉及到的物理和化学过程,预测和优化工艺参数,确保产品良率和可靠性,已经被广泛应用于半导体及其相关领域。

此次半导体制造专场活动内容丰富,来自知名企业和研究机构的专家分享了COMSOL软件在半导体制造中的应用。与会人员共同探讨了多物理场仿真在半导体制造中的优势,以及如何使用数值仿真帮助半导体制造及其相关领域更好地探索新技术、优化产品,推动半导体行业的持续发展。中微半导体设备(上海)股份有限公司的仿真专家介绍了如何使用COMSOL仿真软件实现对半导体制造过程中化学气相沉积(CVD)工艺的温度进行精准控制;上海集成电路材料研究院的仿真专家展示了使用COMSOL 软件来分析化学机械抛光(CMP)过程中夹具的设计对晶圆抛光效果的影响;湖北九峰山实验室的专家则讲解了如何使用COMSOL 软件构建 GaN 外延片制备的三维耦合模型,以及如何通过对其中的热、力等物理量的综合研究,获取优化的工艺参数。

此外,主题日活动还开设了面向半导体制造仿真用户的定制专题讲座,内容覆盖等离子体反应器、封装和测试、薄膜沉积工艺、热辐射加热系统、真空系统仿真和晶体生长等方面的仿真方法。多样性的技术分享帮助参会者更好地了解COMSOL 仿真软件的特性、功能及其在半导体领域中的广泛应用。

通过本次半导体主题日活动,COMSOL 公司进一步推动了多物理场仿真在半导体领域制造工艺优化和技术创新中的应用,为产业界更好地利用仿真技术设计和开发产品提供了强有力的支持。

COMSOL主题日系列活动旨在为所有希望提升多物理场仿真技术、学习定制开发仿真App 的人士搭建交流与沟通的平台。2024年COMSOL公司将继续在全球多地举办主题日活动。中国区的COMSOL主题日活动采用线上直播的方式进行,邀请来自不同行业的专家分享他们对仿真软件在不同应用领域的理解以及相关行业未来发展方向的思考。


]]>
2023年12月6日,全球领先的多物理场仿真软件供应商COMSOL公司成功举办了半导体制造专场主题日活动。此次活动汇聚了千余名来自企业和科研机构的专家学者,共同探讨和分享仿真技术为半导体制造工艺发展带来的创新力量。

随着半导体器件尺寸的缩小、集成度的提高,半导体制造对精度的要求也越来越高。COMSOL Multiphysics 多物理场仿真软件能够帮助工程师和设计人员深入理解制造工艺中涉及到的物理和化学过程,预测和优化工艺参数,确保产品良率和可靠性,已经被广泛应用于半导体及其相关领域。

此次半导体制造专场活动内容丰富,来自知名企业和研究机构的专家分享了COMSOL软件在半导体制造中的应用。与会人员共同探讨了多物理场仿真在半导体制造中的优势,以及如何使用数值仿真帮助半导体制造及其相关领域更好地探索新技术、优化产品,推动半导体行业的持续发展。中微半导体设备(上海)股份有限公司的仿真专家介绍了如何使用COMSOL仿真软件实现对半导体制造过程中化学气相沉积(CVD)工艺的温度进行精准控制;上海集成电路材料研究院的仿真专家展示了使用COMSOL 软件来分析化学机械抛光(CMP)过程中夹具的设计对晶圆抛光效果的影响;湖北九峰山实验室的专家则讲解了如何使用COMSOL 软件构建 GaN 外延片制备的三维耦合模型,以及如何通过对其中的热、力等物理量的综合研究,获取优化的工艺参数。

此外,主题日活动还开设了面向半导体制造仿真用户的定制专题讲座,内容覆盖等离子体反应器、封装和测试、薄膜沉积工艺、热辐射加热系统、真空系统仿真和晶体生长等方面的仿真方法。多样性的技术分享帮助参会者更好地了解COMSOL 仿真软件的特性、功能及其在半导体领域中的广泛应用。

通过本次半导体主题日活动,COMSOL 公司进一步推动了多物理场仿真在半导体领域制造工艺优化和技术创新中的应用,为产业界更好地利用仿真技术设计和开发产品提供了强有力的支持。

COMSOL主题日系列活动旨在为所有希望提升多物理场仿真技术、学习定制开发仿真App 的人士搭建交流与沟通的平台。2024年COMSOL公司将继续在全球多地举办主题日活动。中国区的COMSOL主题日活动采用线上直播的方式进行,邀请来自不同行业的专家分享他们对仿真软件在不同应用领域的理解以及相关行业未来发展方向的思考。


]]>
//www.cghlg.com/article/202312/453748.htm Sun, 10 Dec 2023 20:25:12 +0800
<![CDATA[ 创新、扎实而严谨的工程,成就“四年五个制程节点” ]]> 今年9月,Intel 4制程节点实现大规模量产,英特尔重获制程领先性的“四年五个制程节点”之旅又按时抵达了一座里程碑。

近日,英特尔执行副总裁兼技术开发总经理Ann Kelleher为我们讲述了这一计划的“幕后故事”,英特尔是如何按时稳步推进“四年五个制程节点”计划的呢?目前,Intel 7和Intel 4已经实现大规模量产,Intel 3即将到来,实现约18%的每瓦性能提升,而接下来的Intel 20A和Intel 18A同样进展顺利,在每瓦性能上将比上一个节点各提升约10%。

创新技术加持

Ann Kelleher表示,英特尔非常成功地在Intel 4技术中采用了EUV(极紫外光刻)技术,并在将这项技术用于大规模量产前做好了充分的准备。从Intel 4的制造指标的基准来看,它和EUV非常匹配。EUV不仅可以降低图案层数,进而提升良率(yield),也有助于减少制造的周期时间和总体成本。

在Intel 3技术中,英特尔将进一步增加对EUV的使用,而在开启埃米时代的Intel 20A和Intel 18A制程节点上,英特尔还将应用两项全新的技术:PowerVia背面供电技术和RibbonFET全环绕栅极(GAA)晶体管。这两项技术的研发工作均已完成。

1702041597110568.png

PowerVia实现了背面供电

其中,PowerVia不仅可以提高芯片的速度和使用频率,而且在将一部分金属线移至芯片背面后,它在先进封装层面也有较大潜力。针对业界较为担忧的背面供电的散热问题,英特尔在过去两三年内进行了大量创新,提升了PowerVia的热量管理能力,并正在探索如何将其更好地用于GPU等热量密度更高的产品中。

扎实而严谨的流程

英特尔公司首席执行官帕特·基辛格曾说:“‘四年五个制程节点’不是搭建空中楼阁,而是一项扎实且严谨的工程,只有这样我们才能顺利到达终点。”Ann Kelleher介绍,英特尔在开发新制程节点前会先进行早期研究,一些甚至始于六至十年前。之后是探路(pathfinding)阶段,会基本确定早期研究的哪些部分有可能用到最后的制程节点中。接着,就是开发阶段,根据项目的不同会花费两到三年的时间。最后,会在试验生产线制造一定量的芯片,在证明其达到了英特尔的标准后,才会将制程技术“转移”到工厂进行大规模生产。

1702041624160847.png

在技术“转移”阶段,英特尔主要进行的是“匹配”工作,设备的匹配,制造流程的匹配,乃至生产线每个部分的匹配。用于之前的制程节点生产的设备,合适的话会沿用,不合适的话,会进行升级,“一旦我们知道我们有匹配的能力,芯片就会进入生产线”。

此外,在开发新制程节点时,英特尔还在每个被认为风险较高的地方都制定了应急计划。例如,英特尔专门做了一个测试节点,用于独立地测试和开发PowerVia,以确保它能被妥善地用于Intel 20A和Intel 18A制程节点。

坚持客户至上

Ann Kelleher表示,Intel 18A PDK的0.9版本即将向外部客户提供,1.0版本也将按照业界标准的时间表推出,确保时间表与代工厂通常的时间表一致是英特尔的工作重点之一。

1702041673142601.png

英特尔代工服务(IFS)客户对Intel 18A制程节点的反馈非常积极,一家重要客户承诺采用Intel 18A和Intel 3,并支付了预付款,该客户发现英特尔代工服务为其设计生产的芯片,在功耗、性能和面积效率等方面表现优异。此外,还有两家专注于高性能计算的新客户签约,将采用Intel 18A。

从技术研发部门的角度来看,Ann Kelleher表示,预付款让客户根据自己的意愿,在任何独特的方面进行整体投资,并使得英特尔能够向其提供更大规模的产能。在可能的情况下,英特尔代工服务也会努力满足特定客户定制制程节点或传统工艺变体的要求,这主要取决于具体的要求,如需求量、开发成本等等。

“四年五个制程节点”只是英特尔重获、保持制程领先性的开始,明年,英特尔将制定新计划,继续通过技术创新推进摩尔定律,以实现2030年在单个封装中集成一万亿个晶体管的目标。在即将于12月9日开幕的IEDM 2023(2023 IEEE国际电子器件会议)上,英特尔将分享其推进摩尔定律的最新进展。

]]>
今年9月,Intel 4制程节点实现大规模量产,英特尔重获制程领先性的“四年五个制程节点”之旅又按时抵达了一座里程碑。

近日,英特尔执行副总裁兼技术开发总经理Ann Kelleher为我们讲述了这一计划的“幕后故事”,英特尔是如何按时稳步推进“四年五个制程节点”计划的呢?目前,Intel 7和Intel 4已经实现大规模量产,Intel 3即将到来,实现约18%的每瓦性能提升,而接下来的Intel 20A和Intel 18A同样进展顺利,在每瓦性能上将比上一个节点各提升约10%。

创新技术加持

Ann Kelleher表示,英特尔非常成功地在Intel 4技术中采用了EUV(极紫外光刻)技术,并在将这项技术用于大规模量产前做好了充分的准备。从Intel 4的制造指标的基准来看,它和EUV非常匹配。EUV不仅可以降低图案层数,进而提升良率(yield),也有助于减少制造的周期时间和总体成本。

在Intel 3技术中,英特尔将进一步增加对EUV的使用,而在开启埃米时代的Intel 20A和Intel 18A制程节点上,英特尔还将应用两项全新的技术:PowerVia背面供电技术和RibbonFET全环绕栅极(GAA)晶体管。这两项技术的研发工作均已完成。

1702041597110568.png

PowerVia实现了背面供电

其中,PowerVia不仅可以提高芯片的速度和使用频率,而且在将一部分金属线移至芯片背面后,它在先进封装层面也有较大潜力。针对业界较为担忧的背面供电的散热问题,英特尔在过去两三年内进行了大量创新,提升了PowerVia的热量管理能力,并正在探索如何将其更好地用于GPU等热量密度更高的产品中。

扎实而严谨的流程

英特尔公司首席执行官帕特·基辛格曾说:“‘四年五个制程节点’不是搭建空中楼阁,而是一项扎实且严谨的工程,只有这样我们才能顺利到达终点。”Ann Kelleher介绍,英特尔在开发新制程节点前会先进行早期研究,一些甚至始于六至十年前。之后是探路(pathfinding)阶段,会基本确定早期研究的哪些部分有可能用到最后的制程节点中。接着,就是开发阶段,根据项目的不同会花费两到三年的时间。最后,会在试验生产线制造一定量的芯片,在证明其达到了英特尔的标准后,才会将制程技术“转移”到工厂进行大规模生产。

1702041624160847.png

在技术“转移”阶段,英特尔主要进行的是“匹配”工作,设备的匹配,制造流程的匹配,乃至生产线每个部分的匹配。用于之前的制程节点生产的设备,合适的话会沿用,不合适的话,会进行升级,“一旦我们知道我们有匹配的能力,芯片就会进入生产线”。

此外,在开发新制程节点时,英特尔还在每个被认为风险较高的地方都制定了应急计划。例如,英特尔专门做了一个测试节点,用于独立地测试和开发PowerVia,以确保它能被妥善地用于Intel 20A和Intel 18A制程节点。

坚持客户至上

Ann Kelleher表示,Intel 18A PDK的0.9版本即将向外部客户提供,1.0版本也将按照业界标准的时间表推出,确保时间表与代工厂通常的时间表一致是英特尔的工作重点之一。

1702041673142601.png

英特尔代工服务(IFS)客户对Intel 18A制程节点的反馈非常积极,一家重要客户承诺采用Intel 18A和Intel 3,并支付了预付款,该客户发现英特尔代工服务为其设计生产的芯片,在功耗、性能和面积效率等方面表现优异。此外,还有两家专注于高性能计算的新客户签约,将采用Intel 18A。

从技术研发部门的角度来看,Ann Kelleher表示,预付款让客户根据自己的意愿,在任何独特的方面进行整体投资,并使得英特尔能够向其提供更大规模的产能。在可能的情况下,英特尔代工服务也会努力满足特定客户定制制程节点或传统工艺变体的要求,这主要取决于具体的要求,如需求量、开发成本等等。

“四年五个制程节点”只是英特尔重获、保持制程领先性的开始,明年,英特尔将制定新计划,继续通过技术创新推进摩尔定律,以实现2030年在单个封装中集成一万亿个晶体管的目标。在即将于12月9日开幕的IEDM 2023(2023 IEEE国际电子器件会议)上,英特尔将分享其推进摩尔定律的最新进展。

]]>
//www.cghlg.com/article/202312/453747.htm Fri, 08 Dec 2023 21:19:13 +0800
<![CDATA[ 绝对安全的X轴定位 ]]> 确定安全的绝对位置通常要使用非常复杂的技术。倍加福(Pepperl+Fuchs)为这一过程提供了更为简单的解决方案,该方案基于新型PUS评估单元与safePXV或WCS传感器的组合。因此,只需极少的集成工作即可实现符合SIL 3和PL e标准的安全功能(SF)。

image.png

PUS-F161-B安全评估单元

safePXV非接触式绝对定位系统只需要一个摄像头支持的传感器,因为它通过多色Data Matrix码带提供内在冗余。对于单轨输送机和自动存储与检索系统等直线导轨应用,该系统可在长达10公里的轨道上提供毫米级精度的定位。

image.png

带评估单元的WCS位置编码系统,用于室外安全绝对定

坚固耐用的WCS位置编码系统采用带凹槽的不锈钢编码轨道,可在户外进行绝对定位。在安全版本中,两个U形读取头对该轨道进行监控,确保在长达314.5米的轨道距离上进行可靠检测,即使在港口起重机运行等恶劣条件下也是如此。

image.png

safePXV系统提供多重冗余;多色码带、带摄像头和双色LED灯环的传感器、安全评估装置

来自传感器的冗余信号由PUS-F161-B安全评估装置通过两个通道进行处理和评估。该装置是现场总线的网关。它可生成安全位置值(SafePos SF),也可用于确定安全监测速度(SafeSpeed SF)。集成了传感器和评估单元的应用程序已通过了IEC 61508 SIL 3认证和EN 13849 PL e认证。通过预认证和预配置,它们可以快速、轻松地进行调试。

]]>
确定安全的绝对位置通常要使用非常复杂的技术。倍加福(Pepperl+Fuchs)为这一过程提供了更为简单的解决方案,该方案基于新型PUS评估单元与safePXV或WCS传感器的组合。因此,只需极少的集成工作即可实现符合SIL 3和PL e标准的安全功能(SF)。

image.png

PUS-F161-B安全评估单元

safePXV非接触式绝对定位系统只需要一个摄像头支持的传感器,因为它通过多色Data Matrix码带提供内在冗余。对于单轨输送机和自动存储与检索系统等直线导轨应用,该系统可在长达10公里的轨道上提供毫米级精度的定位。

image.png

带评估单元的WCS位置编码系统,用于室外安全绝对定

坚固耐用的WCS位置编码系统采用带凹槽的不锈钢编码轨道,可在户外进行绝对定位。在安全版本中,两个U形读取头对该轨道进行监控,确保在长达314.5米的轨道距离上进行可靠检测,即使在港口起重机运行等恶劣条件下也是如此。

image.png

safePXV系统提供多重冗余;多色码带、带摄像头和双色LED灯环的传感器、安全评估装置

来自传感器的冗余信号由PUS-F161-B安全评估装置通过两个通道进行处理和评估。该装置是现场总线的网关。它可生成安全位置值(SafePos SF),也可用于确定安全监测速度(SafeSpeed SF)。集成了传感器和评估单元的应用程序已通过了IEC 61508 SIL 3认证和EN 13849 PL e认证。通过预认证和预配置,它们可以快速、轻松地进行调试。

]]>
//www.cghlg.com/article/202312/453746.htm Fri, 08 Dec 2023 21:10:09 +0800
<![CDATA[ e络盟现供应Panasonic Industry先进太阳能逆变器和电动汽车充电系统组件 ]]> 安富利旗下全球电子元器件产品与解决方案分销商e络盟扩充电源产品组合,新增Panasonic Industry太阳能逆变器和电动汽车充电系统组件。这些优质产品可帮助设计师满足全球对日益增长的可持续和可再生能源移动系统的需求。

e络盟Panasonic Industry产品部门负责人Euan Gilligan表示:“Panasonic Industry凭借先进的技术与专业知识,在实现可持续发展方面发挥了重要作用。Panasonic倡导节能设计和环保创新,积极塑造可持续发展的未来。他们对质量和性能的承诺完全契合e络盟助力客户实现卓越的使命。”

1702040672821213.png

Panasonic Industry欧洲区分销经理(HSD & Regional)Tobias Erthle表示:“我们很高兴与这家全球分销伙伴合作。Panasonic是技术创新推动者,e络盟是分销商生态系统中极其出色的企业,我们可以成为完美的合作伙伴!这为我们的客户提供了增值服务,包括快速的运输和全面库存。这些无疑是目前实现‘万物电气化’的关键要素!”

Panasonic Industry将充电装置和家用墙盒的安全性、紧凑性和可靠性放在第一位。他们的产品范围涵盖了从PCB继电器和各种无源元件到热界面材料和连接解决方案,确保为可再生能源应用提供一流的性能。

e络盟现提供的Panasonic产品包括:

●   EZPV和ECWFG系列薄膜电容器是紧凑型金属化聚丙烯薄膜电容器,提供高可靠性和高报废安全性,用于干扰抑制。Panasonic薄膜电容器的亮点是集成了安全功能。原始的内部图案金属化工艺是一种特殊结构的基础,该结构作为熔断器机制,防止电容器出现短期失效模式故障。这些特征使该系列产品非常适合充电站的输入/输出滤波、车载充电器的输入端、工业电源、一系列电动车/插电式混合动力车和可再生能源基础设施等应用。它们是太阳能逆变器、风力发电和车载AC/DC和DC/AC汽车充电应用的理想选择。

●   ETQ-P*M系列功率电感器具有高耐热性、高效率和卓越的可靠性,用于电子驱动器的噪声滤波、升压和降压转换器以及DC/DC转换器,这些对汽车和其他高应力应用至关重要。太阳能逆变器还需要能够在主电路中处理高压和大电流的电感器。采用高质量的Panasonic电感器可以满足这些要求,确保在使用寿命内提供稳定的电感。这些电感器应用于初级电路,功率电感器还用在控制器和栅极驱动器的辅助电路中,它的数字逻辑可为太阳能收集系统提供关键的控制和监测功能。Panasonic金属复合ETQP系列高性能功率电感器适用于高开关速度和严苛的操作条件。

●   ERJ-P系列大功率抗电涌厚膜片式电阻器外壳尺寸更小,但具备与传统尺寸厚膜电阻器相同甚至更大的功率。其电涌特性优于标准金属膜电阻器。卓越的散热与优化的电阻模式、电阻材料和电极设计能够实现小型化和高额定功率。Panasonic电阻器采用软终端技术,这意味着通过使用软树脂,焊点在温度循环中承受的应力更小,最大限度降低了焊点裂纹的风险。因此,它们已成为电动汽车充电和能源管理应用的理想选择。目前对太阳能逆变器的要求主要集中在高压、高效节能、长寿命等方面,这要求电阻器具备高可靠性、长寿命、高耐压力和高精度。Panasonic多个系列的电阻器可用于太阳能逆变器应用。

●   石墨热界面材料是一种可压缩类型的石墨片,用作热界面材料,与标准热解石墨片相比,它的可压缩性非常高。它具有高耐热性和可靠性,有助于各种组件延长使用寿命和提升性能。它特别适用于逆变器和转换器、车载摄像头、电机控制单元和汽车LED。

●   功率继电器是易于使用的机电继电器,开关容量从2A到120A,可直接安装在PCB上。对于下一代开关解决方案,Panasonic各种继电器在电动汽车的墙盒和充电器、太阳能发电厂的逆变器、混合动力和电动汽车电池管理单元的安全组件等应用中至关重要。

●   SVPC系列OS-CON™铝聚合物固体电容器有表面安装和通孔两种型号。Panasonic电容器用途广泛,电压范围从2.5 VDC到16 VDC,电容值从39µF到 2700µF。它们的等效串联电阻(ESR)较低,范围为9 mΩ至35 mΩ。OS-CON电容器在太阳能逆变器的优化中起着重要作用。为了有效利用太阳产生的能量,太阳能电池板必须在地球自转过程中不断吸收太阳能量。通过检测和跟踪太阳的活动位置,调整面板的角度,以确保它始终朝阳,这样可以最大限度收集太阳能。由于寿命长、ESR变化较小,即使在低温下,OS-CON也是户外和监控摄像机应用的理想选择。

●   无线PAN9026:PAN9026是一款2.4/5 GHz ISM频段Wi-Fi和蓝牙无线模块,其中的无线射频可将Wi-Fi和蓝牙轻松与各种电子设备连接。该模块专为表面贴装器件设计,占用空间小,大小为17.5mm x 10.0mm x 2.6mm。该模块的接口包括SDIO 1-bit或4-bit模式,可以在-30至+85°C的温度范围内工作。PAN902x系列将充电站与移动设备或云完美连接起来。

客户可通过Farnell(欧洲、中东和非洲地区)、Newark(北美地区)和e络盟(亚太地区)购买Panasonic Industry太阳能逆变器和电动汽车充电系统组件。

]]>
安富利旗下全球电子元器件产品与解决方案分销商e络盟扩充电源产品组合,新增Panasonic Industry太阳能逆变器和电动汽车充电系统组件。这些优质产品可帮助设计师满足全球对日益增长的可持续和可再生能源移动系统的需求。

e络盟Panasonic Industry产品部门负责人Euan Gilligan表示:“Panasonic Industry凭借先进的技术与专业知识,在实现可持续发展方面发挥了重要作用。Panasonic倡导节能设计和环保创新,积极塑造可持续发展的未来。他们对质量和性能的承诺完全契合e络盟助力客户实现卓越的使命。”

1702040672821213.png

Panasonic Industry欧洲区分销经理(HSD & Regional)Tobias Erthle表示:“我们很高兴与这家全球分销伙伴合作。Panasonic是技术创新推动者,e络盟是分销商生态系统中极其出色的企业,我们可以成为完美的合作伙伴!这为我们的客户提供了增值服务,包括快速的运输和全面库存。这些无疑是目前实现‘万物电气化’的关键要素!”

Panasonic Industry将充电装置和家用墙盒的安全性、紧凑性和可靠性放在第一位。他们的产品范围涵盖了从PCB继电器和各种无源元件到热界面材料和连接解决方案,确保为可再生能源应用提供一流的性能。

e络盟现提供的Panasonic产品包括:

●   EZPV和ECWFG系列薄膜电容器是紧凑型金属化聚丙烯薄膜电容器,提供高可靠性和高报废安全性,用于干扰抑制。Panasonic薄膜电容器的亮点是集成了安全功能。原始的内部图案金属化工艺是一种特殊结构的基础,该结构作为熔断器机制,防止电容器出现短期失效模式故障。这些特征使该系列产品非常适合充电站的输入/输出滤波、车载充电器的输入端、工业电源、一系列电动车/插电式混合动力车和可再生能源基础设施等应用。它们是太阳能逆变器、风力发电和车载AC/DC和DC/AC汽车充电应用的理想选择。

●   ETQ-P*M系列功率电感器具有高耐热性、高效率和卓越的可靠性,用于电子驱动器的噪声滤波、升压和降压转换器以及DC/DC转换器,这些对汽车和其他高应力应用至关重要。太阳能逆变器还需要能够在主电路中处理高压和大电流的电感器。采用高质量的Panasonic电感器可以满足这些要求,确保在使用寿命内提供稳定的电感。这些电感器应用于初级电路,功率电感器还用在控制器和栅极驱动器的辅助电路中,它的数字逻辑可为太阳能收集系统提供关键的控制和监测功能。Panasonic金属复合ETQP系列高性能功率电感器适用于高开关速度和严苛的操作条件。

●   ERJ-P系列大功率抗电涌厚膜片式电阻器外壳尺寸更小,但具备与传统尺寸厚膜电阻器相同甚至更大的功率。其电涌特性优于标准金属膜电阻器。卓越的散热与优化的电阻模式、电阻材料和电极设计能够实现小型化和高额定功率。Panasonic电阻器采用软终端技术,这意味着通过使用软树脂,焊点在温度循环中承受的应力更小,最大限度降低了焊点裂纹的风险。因此,它们已成为电动汽车充电和能源管理应用的理想选择。目前对太阳能逆变器的要求主要集中在高压、高效节能、长寿命等方面,这要求电阻器具备高可靠性、长寿命、高耐压力和高精度。Panasonic多个系列的电阻器可用于太阳能逆变器应用。

●   石墨热界面材料是一种可压缩类型的石墨片,用作热界面材料,与标准热解石墨片相比,它的可压缩性非常高。它具有高耐热性和可靠性,有助于各种组件延长使用寿命和提升性能。它特别适用于逆变器和转换器、车载摄像头、电机控制单元和汽车LED。

●   功率继电器是易于使用的机电继电器,开关容量从2A到120A,可直接安装在PCB上。对于下一代开关解决方案,Panasonic各种继电器在电动汽车的墙盒和充电器、太阳能发电厂的逆变器、混合动力和电动汽车电池管理单元的安全组件等应用中至关重要。

●   SVPC系列OS-CON™铝聚合物固体电容器有表面安装和通孔两种型号。Panasonic电容器用途广泛,电压范围从2.5 VDC到16 VDC,电容值从39µF到 2700µF。它们的等效串联电阻(ESR)较低,范围为9 mΩ至35 mΩ。OS-CON电容器在太阳能逆变器的优化中起着重要作用。为了有效利用太阳产生的能量,太阳能电池板必须在地球自转过程中不断吸收太阳能量。通过检测和跟踪太阳的活动位置,调整面板的角度,以确保它始终朝阳,这样可以最大限度收集太阳能。由于寿命长、ESR变化较小,即使在低温下,OS-CON也是户外和监控摄像机应用的理想选择。

●   无线PAN9026:PAN9026是一款2.4/5 GHz ISM频段Wi-Fi和蓝牙无线模块,其中的无线射频可将Wi-Fi和蓝牙轻松与各种电子设备连接。该模块专为表面贴装器件设计,占用空间小,大小为17.5mm x 10.0mm x 2.6mm。该模块的接口包括SDIO 1-bit或4-bit模式,可以在-30至+85°C的温度范围内工作。PAN902x系列将充电站与移动设备或云完美连接起来。

客户可通过Farnell(欧洲、中东和非洲地区)、Newark(北美地区)和e络盟(亚太地区)购买Panasonic Industry太阳能逆变器和电动汽车充电系统组件。

]]>
//www.cghlg.com/article/202312/453745.htm Fri, 08 Dec 2023 21:04:11 +0800
<![CDATA[ [央视新闻直播间]科技推动力,让“个人电子制造”成为可能 ]]> 近日,央视新闻《科技推动力》栏目组一行莅临北京梦之墨科技有限公司总部,梦之墨市场总监吴聪接受采访,并向记者介绍了梦之墨电子增材制造技术及产业应用情况。

梦之墨专注于“线路板级”电子增材制造技术的研究及应用,技术源于中国科学院理化技术研究所、清华大学液态金属联合研究团队,通过底层图案化材料的创新与工艺耦合,构建了“材料-工艺-产品”三位一体的柔性电路增材制造模式。以绿色简捷的生产加工模式,加速推动电子制造业降本增效、低碳环保进程,在全球率先实现“线路板级”柔性电路增材制造的产业化,以颠覆性科技创新重构生产方式,解放电子制造生产力。

基于自主核心“电子增材制造技术”,梦之墨现已建立两条成熟业务体系:桌面级电子电路增材制造设备及工业级柔性电子印刷生产服务,分别满足于即时快速的个性化电子制造以及工业柔性线路板(FPC等)的批量化生产加工。

采访现场,记者亲身体验了梦之墨“个人电子制造”设备的使用。

1702040111969634.jpeg

吴聪介绍说,梦之墨桌面级电子增材制造设备的最大特点在于能够在短时间内完成电子线路板的快速制作,为个性化电子制造提供了高效解决方案。目前,梦之墨桌面级设备已成功服务于全国90多所高校以及多项国家级、省级赛事,学生可以根据个人创意快速打印自己设计的电路板。

1702040138864761.png

此外,吴聪表示,在工业应用领域——柔性线路板(FPC)的生产制造方向,与传统蚀刻法相比,梦之墨电子增材制造工艺具有两个显著优势:降本增效、低碳环保。工艺流程的简化可带来产品生产成本降低20%~50%,支持大面积、批量化卷对卷方式生产,同等条件下生产效率实现量级提升;此外,由于免去了多道污染性较高的工艺,相比传统电子制造,加工过程更节能环保,可减少碳排放70%以上,废水废液排放减少90%。

1702040225594652.png

1702040329994474.png

梦之墨生产线

目前,梦之墨柔性线路板产品已经完成了从探索验证向工业化生产的跨越。今年,梦之墨全球首条柔性线路板增材制造产线已正式投产,新产线正在筹划,多个海内外主流终端客户的采购订单也正在接洽,订货量均在10kk级以上。2024年,将是梦之墨工业生产业务的腾飞之年。

科技是最强的推动力,一直以来梦之墨正是靠着不断地自主创新,一步步向既定的目标迈进。未来,我们将继续发扬创新精神,以科技力量为产品、为产业赋能!

]]>
近日,央视新闻《科技推动力》栏目组一行莅临北京梦之墨科技有限公司总部,梦之墨市场总监吴聪接受采访,并向记者介绍了梦之墨电子增材制造技术及产业应用情况。

梦之墨专注于“线路板级”电子增材制造技术的研究及应用,技术源于中国科学院理化技术研究所、清华大学液态金属联合研究团队,通过底层图案化材料的创新与工艺耦合,构建了“材料-工艺-产品”三位一体的柔性电路增材制造模式。以绿色简捷的生产加工模式,加速推动电子制造业降本增效、低碳环保进程,在全球率先实现“线路板级”柔性电路增材制造的产业化,以颠覆性科技创新重构生产方式,解放电子制造生产力。

基于自主核心“电子增材制造技术”,梦之墨现已建立两条成熟业务体系:桌面级电子电路增材制造设备及工业级柔性电子印刷生产服务,分别满足于即时快速的个性化电子制造以及工业柔性线路板(FPC等)的批量化生产加工。

采访现场,记者亲身体验了梦之墨“个人电子制造”设备的使用。

1702040111969634.jpeg

吴聪介绍说,梦之墨桌面级电子增材制造设备的最大特点在于能够在短时间内完成电子线路板的快速制作,为个性化电子制造提供了高效解决方案。目前,梦之墨桌面级设备已成功服务于全国90多所高校以及多项国家级、省级赛事,学生可以根据个人创意快速打印自己设计的电路板。

1702040138864761.png

此外,吴聪表示,在工业应用领域——柔性线路板(FPC)的生产制造方向,与传统蚀刻法相比,梦之墨电子增材制造工艺具有两个显著优势:降本增效、低碳环保。工艺流程的简化可带来产品生产成本降低20%~50%,支持大面积、批量化卷对卷方式生产,同等条件下生产效率实现量级提升;此外,由于免去了多道污染性较高的工艺,相比传统电子制造,加工过程更节能环保,可减少碳排放70%以上,废水废液排放减少90%。

1702040225594652.png

1702040329994474.png

梦之墨生产线

目前,梦之墨柔性线路板产品已经完成了从探索验证向工业化生产的跨越。今年,梦之墨全球首条柔性线路板增材制造产线已正式投产,新产线正在筹划,多个海内外主流终端客户的采购订单也正在接洽,订货量均在10kk级以上。2024年,将是梦之墨工业生产业务的腾飞之年。

科技是最强的推动力,一直以来梦之墨正是靠着不断地自主创新,一步步向既定的目标迈进。未来,我们将继续发扬创新精神,以科技力量为产品、为产业赋能!

]]>
//www.cghlg.com/article/202312/453744.htm Fri, 08 Dec 2023 20:53:08 +0800
<![CDATA[ 英飞凌推出TRAVEO T2G-C系列图形MCU,以MCU的成本为汽车图形应用提供堪比MPU的性能 ]]> 英飞凌科技股份公司近日推出搭载新型图形引擎的全新TRAVEO™ T2G-C系列车用微控制器(MCU)。该引擎采用全新的智能渲染技术,可为汽车图形应用带来出众的性能。这款全新MCU占用空间小,可简化主机厂的集成并降低BOM(材料清单)成本,适用于汽车、摩托车、非公路移动出行交通工具的高级智能移动仪表盘和平视显示系统以及注重质量和安全的工业和医疗应用。

英飞凌科技微控制器产品线智能移动出行副总裁Ralf Koedel表示:“TRAVEO T2G-C系列微控制器配有专用的图形加速器,能够以MCU的成本,助力打造具有微处理器性能的仪表盘、车载信息娱乐和座舱系统。该系列MCU采用基于英飞凌专利的创新的行缓存处理技术,与市面上同类半导体器件相比,只需要传统帧缓存方案10%的缓存大小,从而降低功耗、内存需求和BOM成本。”

1702039723538725.jpg

全新TRAVEO™ T2G-C系列车用微控制器

该器件搭配智能座舱中的处理器,可实现极快的启动时间。MCU内置的图形引擎可将图形处理所需的内存减少3至5倍,从而降低功耗和成本。由于配备经过优化的2.5D图形引擎,该MCU可支持分辨率高达1920 x 1080的全虚拟仪表盘。此外,全新T2G-C系列MCU已推出工程样品。其具有一个LPDDR4接口,可显示复杂的3D场景,为设计人员设计现代人机界面(HMI)带来了更大的自由度。

TRAVEO T2G-C系列微控制器搭载两颗频率高达320 MHz的 Arm Cortex-M7内核,具有同类最佳ASIL-B/SIL-2 安全性能。该系列MCU具有高达6MB 的闪存和4MB的内部显存或1GB LPPDR4显存,并配备EVITA高级硬件安全模块(HSM),通过硬件加密加速器提供高级安全性,并通过专用的ARM Cortex-M0+提供增强硬件保护。因此,这款高性价比的MCU充分考虑到最新的功能安全和信息安全要求,而且提供从 500 引脚 BGA(通过 216 引脚 TEQFP)到 144 引脚 LQFP的丰富封装选择。CAN-FD、LIN、千兆以太网和 CXPI 作为嵌入式外设提供。JPEG 解码器、视频输入和输出以及两个串行存储器接口(SPI 或 xSPI)使整个产品组合更加完善。

英飞凌为客户提供可靠的合作伙伴网络,通过合作伙伴的HMI 工具,为微控制器的关键硬件功能提供支持。结合经过认证的HMI工具,TRAVEO T2G图形控制器可助力开发人员实现最佳的应用性能。

供货情况

TRAVEO T2G CYT2CL系列(160 MHz Arm Cortex-M4F内核、4 MB嵌入式闪存)、TRAVEO T2G CYT3DL系列(240 MHz Arm Cortex-M7F内核、4 MB嵌入式闪存和2 MB显存)和TRAVEO T2G CYT4DN 系列(320 MHz 双Arm Cortex-M7F 内核、6 MB 嵌入式闪存和4MB显存)现已推出且接受订购。TRAVEO T2G CYT4EN(320 MHz双Arm Cortex-M7F 内核,6 MB 嵌入式闪存,LPDDR4 和 eMMC 接口)的工程样品也已推出。

]]>
英飞凌科技股份公司近日推出搭载新型图形引擎的全新TRAVEO™ T2G-C系列车用微控制器(MCU)。该引擎采用全新的智能渲染技术,可为汽车图形应用带来出众的性能。这款全新MCU占用空间小,可简化主机厂的集成并降低BOM(材料清单)成本,适用于汽车、摩托车、非公路移动出行交通工具的高级智能移动仪表盘和平视显示系统以及注重质量和安全的工业和医疗应用。

英飞凌科技微控制器产品线智能移动出行副总裁Ralf Koedel表示:“TRAVEO T2G-C系列微控制器配有专用的图形加速器,能够以MCU的成本,助力打造具有微处理器性能的仪表盘、车载信息娱乐和座舱系统。该系列MCU采用基于英飞凌专利的创新的行缓存处理技术,与市面上同类半导体器件相比,只需要传统帧缓存方案10%的缓存大小,从而降低功耗、内存需求和BOM成本。”

1702039723538725.jpg

全新TRAVEO™ T2G-C系列车用微控制器

该器件搭配智能座舱中的处理器,可实现极快的启动时间。MCU内置的图形引擎可将图形处理所需的内存减少3至5倍,从而降低功耗和成本。由于配备经过优化的2.5D图形引擎,该MCU可支持分辨率高达1920 x 1080的全虚拟仪表盘。此外,全新T2G-C系列MCU已推出工程样品。其具有一个LPDDR4接口,可显示复杂的3D场景,为设计人员设计现代人机界面(HMI)带来了更大的自由度。

TRAVEO T2G-C系列微控制器搭载两颗频率高达320 MHz的 Arm Cortex-M7内核,具有同类最佳ASIL-B/SIL-2 安全性能。该系列MCU具有高达6MB 的闪存和4MB的内部显存或1GB LPPDR4显存,并配备EVITA高级硬件安全模块(HSM),通过硬件加密加速器提供高级安全性,并通过专用的ARM Cortex-M0+提供增强硬件保护。因此,这款高性价比的MCU充分考虑到最新的功能安全和信息安全要求,而且提供从 500 引脚 BGA(通过 216 引脚 TEQFP)到 144 引脚 LQFP的丰富封装选择。CAN-FD、LIN、千兆以太网和 CXPI 作为嵌入式外设提供。JPEG 解码器、视频输入和输出以及两个串行存储器接口(SPI 或 xSPI)使整个产品组合更加完善。

英飞凌为客户提供可靠的合作伙伴网络,通过合作伙伴的HMI 工具,为微控制器的关键硬件功能提供支持。结合经过认证的HMI工具,TRAVEO T2G图形控制器可助力开发人员实现最佳的应用性能。

供货情况

TRAVEO T2G CYT2CL系列(160 MHz Arm Cortex-M4F内核、4 MB嵌入式闪存)、TRAVEO T2G CYT3DL系列(240 MHz Arm Cortex-M7F内核、4 MB嵌入式闪存和2 MB显存)和TRAVEO T2G CYT4DN 系列(320 MHz 双Arm Cortex-M7F 内核、6 MB 嵌入式闪存和4MB显存)现已推出且接受订购。TRAVEO T2G CYT4EN(320 MHz双Arm Cortex-M7F 内核,6 MB 嵌入式闪存,LPDDR4 和 eMMC 接口)的工程样品也已推出。

]]>
//www.cghlg.com/article/202312/453743.htm Fri, 08 Dec 2023 20:48:11 +0800
<![CDATA[ ADAS前置摄像头设计面临的四大电源挑战 ]]> 前置摄像头是高级驾驶辅助系统 (ADAS) 的重要组件,尤其是鉴于现在的新车碰撞测试要求将自动紧急制动和正面防撞作为汽车的标准功能。前置摄像头有助于实现其他ADAS功能,例如自适应巡航控制、行人检测、车道保持辅助和交通标志识别。

图1中的示例演示了如何使用摄像头进行物体检测以启用ADAS功能。

1702039470287676.png

图1 使用摄像头进行实时处理

为了执行视觉预处理、深度和运动加速或 AI 网络处理等处理任务以支持 ADAS 功能,系统中的片上系统 (SoC) 需要高效的电源。在设计 ADAS 前置摄像头时,面临以下四大电源挑战。

挑战一:小尺寸解决方案

由于前置摄像头位于挡风玻璃上,因而对其尺寸有严格的要求。摄像头模块可以包括一个或两个摄像头:一个用于提供更宽的视野或更高的分辨率,另一个用于观察更远的距离。

虽然市场上大多数应用中使用的是单摄像头模块,但双摄像头模块正越来越流行,以便更好地观察车辆周围环境并实现更高级别的自主性。高分辨率和更高帧速率的摄像头模块也是发展趋势。在摄像头性能提高的同时,摄像头模块本身的尺寸也在不断缩小,典型尺寸为 18mm x 18mm。

远程摄像头模块使用串行器/解串器 (SerDes) 链路将数据从摄像头模块发送到电子控制单元 (ECU)。前置摄像头模块与前置摄像头 ECU 并置,使用摄像头串行接口 (CSI)-2 能够将数据发送到 ECU 电路板。前置摄像头中的摄像头模块输入电源电压可低至 5V,而同轴电缆供电的远程摄像头模块通常为 9V。前置摄像头模块子板上的多通道电源管理 IC (PMIC) 采用低压输入,能够为成像仪以及摄像头模块上的所有其他处理任务供电。在通过 CSI-2 将数据流式传输到 ECU 之前,可以先使用板载微控制器 (MCU) 完成处理。摄像头模块上的MCU可以执行像素级图像信号处理,或者由独立芯片进行此处理。其中,远程摄像头模块中常见的 SerDes 芯片组无需供电轨。具有适当数量电源轨的低压 PMIC 可以为摄像头模块上的图像传感器和其他外设供电,有助于实现这些系统所需的小空间。

图 2 显示了前置摄像头的系统方框图。该系统通常位于挡风玻璃后面靠近后视镜的位置,包括执行处理的前置摄像头ECU 和容纳图像传感器的摄像头模块。

1702039548766176.png

图2 前置摄像头系统方框图

前置摄像头应用中使用的视觉处理器具有被称为视觉处理加速器的专用硬件加速器,以及能够用于检测边缘物体的深度和运动感知加速器。此外,这些处理器可能具有人工智能 (AI) 功能,并配有专用的矩阵乘法加速器来帮助进行深度学习。考虑到如此大的处理量,PMIC 必须能够在不增加解决方案尺寸的情况下满足处理器的当前要求。

PMIC 应具有出色的瞬态响应以满足 AI 处理器的负载瞬态要求,并采用较小的输出电容来保持小巧的解决方案尺寸。集成降压稳压器、低压差稳压器、负载开关、电压监测器、序列发生器、看门狗计时器、错误信令模块和附加通用输入/输出有助于减小解决方案的尺寸。特别是与具有多个分立式元件并会增加整体尺寸和成本的解决方案相比,尺寸大幅减小。

该应用中常用的电源树架构将构建两级电源转换,有助于保持总效率并能够将组件温度保持在可接受的范围内。在这种电源树架构中,前级直流/直流转换器将 12V 电池电压降压至多通道 PMIC 的调节中间电压(例如,5V 或 9V)。前级直流/直流转换器应选用宽输入电压降压转换器,能够支持 12V 电池电压骤降至 3V 以及浪涌至高达 36V 的瞬态电压。

挑战二:功能安全

由于自动紧急制动和自适应巡航控制涉及前置摄像头,因此功能安全尤为重要。前置摄像头系统通常具有汽车安全完整性等级 (ASIL) B 要求。这意味着处理器的 PMIC 电源必须能够满足 ASIL B 要求,从而帮助实现整体系统级功能安全要求。

以下是 ASIL B PMIC 应该具备的一些功能:

●   PMIC 电源轨的电压监测器

●   用于检测系统其他电源轨的附加监测器

●   带隙冗余

●   用于检测软件故障的看门狗计时器

●   用于检测硬件故障的错误信令监测器

挑战三:低成本

由于乘用车和轻型商用车中大量采用前置摄像头,因此降低摄像头系统的成本至关重要。预计到 2028 年,前置摄像头系统的销量将超过 7000 万台,是车辆中常见的 ADAS 应用。

高产量会给一级供应商带来成本压力,进而为半导体供应商带来压力。通过减少物料清单数量、选择集成组件、选择合适的技术节点以及保持较低的器件成本,可以降低整体系统的成本。PMIC 尺寸小巧,集成了多个电源元件,有助于实现这些优势并能够优化成本。

挑战四:热性能

由于前置摄像头位于车辆挡风玻璃上,因此在正常工作条件时前置摄像头会暴露在高温下。热量会造成热噪声并导致图像质量不佳,在弱光条件下更是如此。前置摄像头需要较小的印刷电路板面积,加上摄像头模块中的摄像头会发热,这进一步增加了该应用的散热挑战。为了适应各种工作条件,系统的热性能优化至关重要。PMIC 良好的热性能有助于使电路板保持在低温状态。

结语

在 ADAS 前置摄像头上添加 PMIC 可以缓解本文所述的四大挑战,并提高前置摄像头系统的功效和热效率。根据具体的系统要求,德州仪器 (TI) AM62A-Q1 或 TDA4AL-Q1 处理器系列能助力简化您前置摄像头的设计流程。

]]>
前置摄像头是高级驾驶辅助系统 (ADAS) 的重要组件,尤其是鉴于现在的新车碰撞测试要求将自动紧急制动和正面防撞作为汽车的标准功能。前置摄像头有助于实现其他ADAS功能,例如自适应巡航控制、行人检测、车道保持辅助和交通标志识别。

图1中的示例演示了如何使用摄像头进行物体检测以启用ADAS功能。

1702039470287676.png

图1 使用摄像头进行实时处理

为了执行视觉预处理、深度和运动加速或 AI 网络处理等处理任务以支持 ADAS 功能,系统中的片上系统 (SoC) 需要高效的电源。在设计 ADAS 前置摄像头时,面临以下四大电源挑战。

挑战一:小尺寸解决方案

由于前置摄像头位于挡风玻璃上,因而对其尺寸有严格的要求。摄像头模块可以包括一个或两个摄像头:一个用于提供更宽的视野或更高的分辨率,另一个用于观察更远的距离。

虽然市场上大多数应用中使用的是单摄像头模块,但双摄像头模块正越来越流行,以便更好地观察车辆周围环境并实现更高级别的自主性。高分辨率和更高帧速率的摄像头模块也是发展趋势。在摄像头性能提高的同时,摄像头模块本身的尺寸也在不断缩小,典型尺寸为 18mm x 18mm。

远程摄像头模块使用串行器/解串器 (SerDes) 链路将数据从摄像头模块发送到电子控制单元 (ECU)。前置摄像头模块与前置摄像头 ECU 并置,使用摄像头串行接口 (CSI)-2 能够将数据发送到 ECU 电路板。前置摄像头中的摄像头模块输入电源电压可低至 5V,而同轴电缆供电的远程摄像头模块通常为 9V。前置摄像头模块子板上的多通道电源管理 IC (PMIC) 采用低压输入,能够为成像仪以及摄像头模块上的所有其他处理任务供电。在通过 CSI-2 将数据流式传输到 ECU 之前,可以先使用板载微控制器 (MCU) 完成处理。摄像头模块上的MCU可以执行像素级图像信号处理,或者由独立芯片进行此处理。其中,远程摄像头模块中常见的 SerDes 芯片组无需供电轨。具有适当数量电源轨的低压 PMIC 可以为摄像头模块上的图像传感器和其他外设供电,有助于实现这些系统所需的小空间。

图 2 显示了前置摄像头的系统方框图。该系统通常位于挡风玻璃后面靠近后视镜的位置,包括执行处理的前置摄像头ECU 和容纳图像传感器的摄像头模块。

1702039548766176.png

图2 前置摄像头系统方框图

前置摄像头应用中使用的视觉处理器具有被称为视觉处理加速器的专用硬件加速器,以及能够用于检测边缘物体的深度和运动感知加速器。此外,这些处理器可能具有人工智能 (AI) 功能,并配有专用的矩阵乘法加速器来帮助进行深度学习。考虑到如此大的处理量,PMIC 必须能够在不增加解决方案尺寸的情况下满足处理器的当前要求。

PMIC 应具有出色的瞬态响应以满足 AI 处理器的负载瞬态要求,并采用较小的输出电容来保持小巧的解决方案尺寸。集成降压稳压器、低压差稳压器、负载开关、电压监测器、序列发生器、看门狗计时器、错误信令模块和附加通用输入/输出有助于减小解决方案的尺寸。特别是与具有多个分立式元件并会增加整体尺寸和成本的解决方案相比,尺寸大幅减小。

该应用中常用的电源树架构将构建两级电源转换,有助于保持总效率并能够将组件温度保持在可接受的范围内。在这种电源树架构中,前级直流/直流转换器将 12V 电池电压降压至多通道 PMIC 的调节中间电压(例如,5V 或 9V)。前级直流/直流转换器应选用宽输入电压降压转换器,能够支持 12V 电池电压骤降至 3V 以及浪涌至高达 36V 的瞬态电压。

挑战二:功能安全

由于自动紧急制动和自适应巡航控制涉及前置摄像头,因此功能安全尤为重要。前置摄像头系统通常具有汽车安全完整性等级 (ASIL) B 要求。这意味着处理器的 PMIC 电源必须能够满足 ASIL B 要求,从而帮助实现整体系统级功能安全要求。

以下是 ASIL B PMIC 应该具备的一些功能:

●   PMIC 电源轨的电压监测器

●   用于检测系统其他电源轨的附加监测器

●   带隙冗余

●   用于检测软件故障的看门狗计时器

●   用于检测硬件故障的错误信令监测器

挑战三:低成本

由于乘用车和轻型商用车中大量采用前置摄像头,因此降低摄像头系统的成本至关重要。预计到 2028 年,前置摄像头系统的销量将超过 7000 万台,是车辆中常见的 ADAS 应用。

高产量会给一级供应商带来成本压力,进而为半导体供应商带来压力。通过减少物料清单数量、选择集成组件、选择合适的技术节点以及保持较低的器件成本,可以降低整体系统的成本。PMIC 尺寸小巧,集成了多个电源元件,有助于实现这些优势并能够优化成本。

挑战四:热性能

由于前置摄像头位于车辆挡风玻璃上,因此在正常工作条件时前置摄像头会暴露在高温下。热量会造成热噪声并导致图像质量不佳,在弱光条件下更是如此。前置摄像头需要较小的印刷电路板面积,加上摄像头模块中的摄像头会发热,这进一步增加了该应用的散热挑战。为了适应各种工作条件,系统的热性能优化至关重要。PMIC 良好的热性能有助于使电路板保持在低温状态。

结语

在 ADAS 前置摄像头上添加 PMIC 可以缓解本文所述的四大挑战,并提高前置摄像头系统的功效和热效率。根据具体的系统要求,德州仪器 (TI) AM62A-Q1 或 TDA4AL-Q1 处理器系列能助力简化您前置摄像头的设计流程。

]]>
//www.cghlg.com/article/202312/453742.htm Fri, 08 Dec 2023 20:43:49 +0800